Amiga.org

Amiga computer related discussion => Amiga Hardware Issues and discussion => Topic started by: mikej on March 17, 2008, 10:52:42 PM

Title: FPGAARCADE minimig compatible board, comments?
Post by: mikej on March 17, 2008, 10:52:42 PM
Hello all.

I have been developing a board for a while to run the games developed at www.fpgaarcade.com. I have also been working towards a gate-level perfect AtariST clone (ok, wrong forum :) ). Having talked to Dennis I decided to include a similar bootloader to Minimig so it can also run the Amiga hardware.

This is how it stands at the moment (its about 90% placed, some components are missing).
(http://farm4.static.flickr.com/3187/2341840118_f9a08a3042_b.jpg)

The board size is ECX but it has got slightly bigger today and is now "EPIC/ narrow mini-ITX" 17 cm wide by 12 high. It will fit in an ITX case and the two front screws are correct. It is a slightly ~6mm oversize EPIC format.

I wanted to keep the base board as simple as possible, everything platform specific would go on a daughter card. This increases the cost though, so I added some connectors at the top but these would not be fitted. The daughter board can be stuffed with whatever connectors you wish. Two daughter boards are being designed, one has the joystick ports over the bottom connectors and TV out, and the other has a JAMMA edge connector for arcade game cabs.

It has DVI out as well as 30bit analogue RGB on the DVI connector. You can plug in a 15 pin VGA adapter or a cable to your SCART TV. It has a high quality audio output as well.

The PIC has a bootloader so it can be updated by the serial port (if it works!)

The FPGA is quite a bit bigger than the one on Dennis' board (Spartan3E ~ 1.2 M gates), so I am hoping the 68K processor would not need to be fitted and we would use TobiFlex's core. (Hello again!)

The RAM is DDR DRAM and there is 64MB of it, maybe more. I will come up with wrappers for the Minimig code so it runs on this board. What I want to get to is the bootloader loads a default FPGA image at startup which drives the on screen menu. You then choose the platform (Amiga/Atari/Pacman/HD Asteroids etc) and the disk images / roms.

I will do a small production run when it all works as it is tricky to solder BGAs at home :(

Any comments? There is still time to tweak it....
Should the base card have composite / SVHS TV out, or is the DVI into a HDMI tv good enough? Do modern TVs work at 50Hz with HDMI actually, I don't have one???

Thanks,
Mike.
www.fpgaarcade.com

Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: AJCopland on March 17, 2008, 11:26:39 PM
I'm justing crashing out now so quick reply!

Great to see you getting this board done, I've been following your FPGAArcade site for a while and hoping you'd get this done (and the jaguar 2 FPGAs *ahem*:-D).

Could a 68k series CPU be put on a daughter card slot that you're currently planning to use for JAMMA boards or would there not be enough IO? I'm just thinking that TobiFlexs 68k is great but it'd be nice to have a hardware option or to allow for other 680x0 series cpus to be swapped in.

If it could have composite/SVHS as well that'd be good so that people could connect it to their regular TVs. What connector was used for the older hi-res arcade screens?

Andy
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on March 17, 2008, 11:37:13 PM
Thanks!

All the 68K pins also go to the connector as I expect the CPU wont be fitted. There is a lot of IO there so anything is possible, faster CPU no problem. I don't see any reason why we can't get the soft68K upto silly speeds actually, the DRAM controller should keep up.

All reasonably modern arcade machines use the JAMMA connector, older ones had custom ones. I also want to do a daughter card to drive vector monitors for StarWars :)

You can wire to the regular telly using a vga to scart cable, the chip will output PAL/NTSC and composite sync on the H sync pin (look at my vic20 page). Question is, to keep the base board cost down can the composite video out go on the optional daughter board???

Actually I have been making some good progress with the JAG2 stuff, I have a working cell simulation library that is nearly consistent. Most of the work recently has been finishing off the Atari customs, but that is mostly done. Full focus on getting this board done now, my deadline was Easter....
/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on March 18, 2008, 10:31:00 AM
Why 2x PS2 ports on opposite sides ..?
SMPS or Linear (hot-hot) regulators ..?
Configuration jumpers for video signal ..?
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on March 18, 2008, 10:57:48 AM
Hi,

I have been following your fpga site for about a couple of years and I always wondered when you were going to come out with a FPGA Amiga.

Will this board be like an A1200? Or a generic board which allows you to run anything from Atari to Amiga?

Thanks :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: alexh on March 18, 2008, 11:29:17 AM
Quote

TheDaddy wrote:
Will this board be like an A1200 with AGA implementation?

What has the board to do with implementations?
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on March 18, 2008, 11:44:19 AM
What I meant was:

Is this board going to be able to run AGA programs?
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Krusher on March 18, 2008, 11:49:52 AM
Quote

TheDaddy wrote:
What I meant was:

Is this board going to be able to run AGA programs?


If someone is capable and willing to port the minimig source and implement AGA support, yes. The used FPGA has enough gates anyway.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: AJCopland on March 18, 2008, 11:49:58 AM
I believe, from his comments, that MikeJs board design is made to be able to emulate multiple platforms, either by using other cpus on daughter boards or everything in the onboard FPGA.

This means that, to answer your example: You could put a 68020 on a daughter board AND if you had an FPGA implementation of the AGA chipset that you could put into the onboard FPGA, then yes you could use it to emulate an A1200.

MikeJs earlier post was saying that because the FPGA he has used is much larger than the one in the MiniMig (3x larger!) it should be able to fit both the MiniMig OCS chipset and TobiFlexs tg68k into the FPGA together so this could become a good board for MiniMig projects.

However he's designed it so that it could be used for the implementation and emulation of many other systems.

That clarify?

Andy
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: bloodline on March 18, 2008, 11:58:08 AM
Quote

AJCopland wrote:

However he's designed it so that it could be used for the implementation and emulation of many other systems.



I would also note that this board supports 24bit output, which is needed for AGA support... this, I believe is the largest impediment for the AGA support on the MiniMig (with its 12bit gfx)...
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: AJCopland on March 18, 2008, 12:04:50 PM
I'd counter that the largest impediment to A1200 emulation on the current MiniMig v1.1 is the use of the 68000 rather than a 68020 or better :-D

The bit depth would only affect colour output rather than logical implementation whereas attempting to persuade programs made for a 68020 to run on a lower clocked 16bit data/address wide cpu would probably be an unsurmountable hurdle :lol:

Andy
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: jkonstan on March 18, 2008, 12:28:50 PM
Mike,

Please post a pdf of the schematics so that we can give some better "design review" like feedback. Also, add an IDE connector via Spartan3e/level shifters (CBT16245).

 :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Dennis on March 18, 2008, 12:48:22 PM
What PIC are you using?
Could you use one of those newer PIC18F..J types?
These run faster and at 3.3V, have more RAM and ROM and are easily programmable using a PICKIT2 header.
I don't know about tiny bootloader though, that may have to be ported but that should be easy.

Dennis
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: bloodline on March 18, 2008, 12:49:45 PM
Quote

AJCopland wrote:
I'd counter that the largest impediment to A1200 emulation on the current MiniMig v1.1 is the use of the 68000 rather than a 68020 or better :-D


Which is why I said AGA and not A1200 :-)

Quote

The bit depth would only affect colour output rather than logical implementation whereas attempting to persuade programs made for a 68020 to run on a lower clocked 16bit data/address wide cpu would probably be an unsurmountable hurdle :lol:


Hmmm... maybe...
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on March 18, 2008, 12:50:23 PM
@all

Thanks for the explanation. :-D
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on March 18, 2008, 01:39:13 PM
Make sure one can flash the MCU (PIC) without a seperate flash hardware.

Have you provided the Vtt voltages for the DDR dram ..?
And the series termination..?, and a clock frequency of 75-133 MHz aswell ..? (or else DDR refuse to work)

@jkonstan:
Better to have P-ATA (IDE) on a external board too keep complexity and cost down for most users.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on March 18, 2008, 02:00:28 PM
right, in order:
"Why 2x PS2 ports on opposite sides ..?"
top connectors not fitted by default. Now it is slightly larger mini-itx size the PS2 connector will move down. Holes for box headers for the joysticks will also be added so they can be cabled off.

"SMPS or Linear (hot-hot) regulators ..? "
DC-DC convertors (right hand side)

"Configuration jumpers for video signal ..?"
yes, not placed.

I will post schematics when we have finished nailing the architecture for review. Its changing too much at the moment.

Dennis, currently a PIC18LF2520. The header on the left is for a pickit2 programmer along with a 5v supply jumper for ICP.

DRAM has a VTT supply (linear regulator above the ram).
Parallel termination only should be ok, I'll simulate the board. Target clock speed is 166MHz (333Mbit).

Flexible clock generator from IDT (probably) to be added to get correct video and memory clocks.

/Mike





Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on March 18, 2008, 02:02:33 PM
Hi Dennis,

Do you know if anyone is working on a better minimig.bin file?

I mean more compatible as at the moment these are the results:

http://www.loriano.pwp.blueyonder.co.uk/what_works.htm

Thanks :-D
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on March 18, 2008, 09:50:07 PM
Quote

mikej wrote:
"SMPS or Linear (hot-hot) regulators ..? "
DC-DC convertors (right hand side)


Linear DC-DC or Switched DC-DC ..?

Quote

I will post schematics when we have finished nailing the architecture for review. Its changing too much at the moment.


Many edge projects are like that. But an intermediate schematics may let others help you finding flaws directly. Or give suggestions.

Quote

DRAM has a VTT supply (linear regulator above the ram).
Parallel termination only should be ok, I'll simulate the board. Target clock speed is 166MHz (333Mbit).


Are you sure you can handle DDR SDRAM..?, it's a real pain :-)
SDR SDRAM is way simpler. And allows you to clock it from a few kHz to many MHz. And no exotic termination and signal issues.

Quote

Flexible clock generator from IDT (probably) to be added to get correct video and memory clocks.


Chip id ..? (to have a look at it)
Maybe a better solution (less jitter) than the fpga dcm.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: wizard66 on March 19, 2008, 08:22:46 PM
Quote

jkonstan wrote:
Mike,

 Also, add an IDE connector via Spartan3e/level shifters (CBT16245).

 :-)


+1 ;-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on March 19, 2008, 11:08:22 PM
"Linear DC-DC or Switched DC-DC ..?"
Can you get a linear DC-DC??
I'm using switching buck converters.

I will post the schematics before layout is completed, all the reviewing I can get the better :)


The clocking is one of the remaining design problems. I'm looking at 2 chips, one to generate 20MHz for the PIC and a base clock for the FPGA as well as 166 for the memory controller. The other I'm looking at is an IDT307 or similar which has a PLL and can be used to generate all the odd video frequencies we may need. The DCM clk_fx output is quite noisy and can't be used for the memory interface.

Can I handle DDR? I hope so - I do this for a living :) but it will be tricky on a board this cheap using such a slow device. But, we could do with the bandwidth if we want high res high colour displays. I am thinking of adding a second dram chip, they are seriously cheap. SDRAM is in many cases better but it's getting harder to get hold of. I am using DDR over DDR2 as the latency is less.

I will try and fit in an IDE connector on the daughter board.

So, everybody happy with using the VGA/DVI/SCART connector on the main card and only having Composite out on the daughter card ??

/Mike

Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: amigadave on March 19, 2008, 11:56:03 PM
Quote

TheDaddy wrote:
Hi Dennis,

Do you know if anyone is working on a better minimig.bin file?

I mean more compatible as at the moment these are the results:

http://www.loriano.pwp.blueyonder.co.uk/what_works.htm

Thanks :-D


Thanks for the list and the testing.  The rest of us surely appreciate the list of results.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: downix on March 20, 2008, 12:34:05 AM
Hey Mike, you're following me now!
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: jkonstan on March 20, 2008, 01:07:53 AM
Mike,

The new TI spread spectrum Clock Synthesizers are nice parts as well.
 
http://focus.ti.com/docs/prod/folders/print/cdce937.html

I have seen a Spartan3 FPGA used for DDR controller where DDR clock generation was done via FPGA DLL, and it worked fairly well.

Are you going to use Hyeprlynx or another Signal Integrity tool to simulate your pcb layout ?

When you post the schematics, I will look them over.

 :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on March 20, 2008, 01:11:22 AM
Which switching IC have you decided on ..?, and which inductance type?

Only provide DVI and possible VGA port. The VGA port can be (ab)used as SCART/Component/S-Video/CVBS etc..

Are you sure DDR won't work with plain I/O clock?, the Spartan-3E starter kit seems to do fine with this.
ug230.pdf (http://www.xilinx.com/support/documentation/boards_and_kits/ug230.pdf)

Maybe you can skip on the series termination if you can get it within 1-2 cm from the fpga? No other components should be so time critical so they can give way for the dram chip.

Is the DDR chips sensitive to SMPS power ..? (ripple)

How is SDRAM-vs-DDR1-vs-DDR2-vs-DDR3 on latency issues?, as I understand it most emulations expect single clock response from the dram memory. Ie like any first generation RAS/CAS memory module would behave. So any benefits from pipelined design with modern types of dram would be nullified. Only the video part may benefit by inserting read instructions in between. Processor access would be stalled until the requested address is returned.

An 8bit 133 MHz SDRAM should be able to handle 1024x768 18bpp 60Hz. So for this kind of emulation really heavy memory might not be needed. And even if it is, a 16bit variant would push the limit to 1600x1200 18bpp 60Hz. Way above any screen resolution I know Amiga had at least.

Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: jensl on March 20, 2008, 07:29:30 PM
Can you make 15 pol gameports, maybe for a NeoGeo port.
With a 15 pol you have more spare pins for future use.

Here a link with connectors ->
http://www.hardwarebook.info/Neo-Geo_Joystick

15 PIN D-SUB FEMALE at the console.

15 PIN D-SUB MALE at the joystick cable.

Pin    Name    Dir    Description
1    GND    ---    Ground
2    n/c    -    Not connected
3    SELECT    <--    Select Button
4    BUTTOND    <--    "D" Button
5    BUTTONB    <--    "B" Button
6    RIGHT    <--    Right
7    DOWN    <--    Down
8    n/c    -    Not connected
9    BUTTOND    <--    "D" Button, again?
10    n/c    -    Not connected
11    START    <--    Start Button
12    BUTTONC    <--    "C" Button
13    BUTTONA    <--    "A" Button
14    LEFT    <--    Left
15    UP    <--    Up

Notes:
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on March 20, 2008, 09:22:59 PM
Better yet.. remove RS232, PS2, JOYA, JOYB, NATGEO etc.. from the board. And and a generic I/O port. Where the functionality can be defined from the FPGA.
That enables just about any slow I/O stuff to be possible at a dirt cheap cost without cluttering the mainboard.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: bloodline on March 20, 2008, 09:28:09 PM
Quote

freqmax wrote:
Better yet.. remove RS232, PS2, JOYA, JOYB, NATGEO etc.. from the board. And and a generic I/O port. Where the functionality can be defined from the FPGA.
That enables just about any slow I/O stuff to be possible at a dirt cheap cost without cluttering the mainboard.


While I agree... but PS/2 and USB are a must really...
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on March 20, 2008, 09:47:16 PM
Maybe PS2, but it's a slow I/O and can be outsourced to some dummy pcb with only wires. And USB hasn't been less complex since last time it was up for discussion :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: bloodline on March 20, 2008, 10:20:29 PM
Quote

freqmax wrote:
Maybe PS2, but it's a slow I/O and can be outsourced to some dummy pcb with only wires.


It a must since a PS/2 keyboard is the easiest way to get Keyboard control! And who doesn't have (or can't get) a PS/2 mouse?

Quote

 And USB hasn't been less complex since last time it was up for discussion :-)


Ok, ok! But it would be nice :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on March 21, 2008, 02:01:57 PM
Board space on a board this complex comes at a hefty price. Even a central London apartment would look dirt cheap in comparision..
Thus anything that's specific and slow I/O to a certain "emulation" is preferibly put on a cheaper board that can be reused with future revisions of the main board.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on March 24, 2008, 11:54:40 PM
"Are you going to use Hyeprlynx or another Signal Integrity tool to simulate your pcb layout ? When you post the schematics, I will look them over."

Yes, I'll run it through Hyperlynx to see what it looks like, and thanks for the review offer. You can get away with no termination at all for a single memory with very short traces. A couple of designs use a single 50R to VTT per net (spartan3a starter kit). I think I should be ok with just the parallel terminators placed at the end of the net for clock/address/control lines and in the center for the data pins. I will simulate it to be sure.

The dc-dc controllers are from national (lm2852/3) with the recommended inductor from coilcraft. Dedicated VTT linear regulator.

Latency is a problem, thats why I've gone for DDR1 over DDR2. The memory controller can keep four banks open and do 32 bit fully random access on each bank as fast as the Minimig SRAM can. This is useful for some arcade games where I can emulate four ROMS (one per bank) simultaneously.

I've added a header by the (by default) unpopulated joy connectors so you can fit a connector and make a cable to whatever joystick you want. You also have the main expansion connector with lots of IO.

"Thus anything that's specific and slow I/O to a certain "emulation" is preferibly put on a cheaper board that can be reused with future revisions of the main board."

Agreed!


Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: jkonstan on March 25, 2008, 12:03:36 AM
Simulate the pcb layout in Hyperlynx, and you will be ok.
The last Spartan3 that I simulated with DDR was only a point to point application, and the only termination resistor required was for DDR_CLK+/-. The rest of the I/O terminations for the interface were tweaked by controlling the Spartan3 pin drivers in the (Xilinx ISE .UCF file). If you use a Spartan3A instead of a Spartan3, you will have to add more external termination resistors because the Spartan3A I/O drivers do not have as much termination/impedance control as the Spartan3 does. Also, there are a lot of good DDR app notes from TI, Freescale, Xilinx etc.. as well.

 :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on April 05, 2008, 06:51:30 PM
Update:
Thanks for all the comments. The layout has been expanded slightly so it is mini_itx wide and roughly half as deep - so it will fit in a mini-itx case and the two rear fixing holes are in the correct place.

I have decided to go for a twin SPI bus AVR chip for performance reasons and the open source tool chain is better than the PIC. I have ported most of the MiniMig PIC code.

I have added an active filter/buffer for the video out which allows the the daughter board to have a composite/svhs coder.

I'll get an updated layout and schematic up for review as soon as I can.
Regards,
Mike.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: PeterSieg on May 06, 2008, 12:44:44 PM
Hello mikej.

Thanks for all your work!!

Could you please update us on the actual status of your upcoming fpgaarcade dev board..?

When do you think, a first batch can be made?
In what ball park figure do you expect the total costs?

I am thinking of purchasing a minimig board.. but if your
board will have a lower price tag and will be available wihtin the next couple weeks.. I would rather wait..

Many thanks!
Peter
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: FrenchShark on May 07, 2008, 04:30:11 AM
Hello MikeJ,

It is funny. I am finishing the same kind of board at work :-).
Even if my board will be used as test equipment for multimedia devices, it is "Minimig capable".
The specifications are slightly different:
- Cyclone EP3C16 QFP-240
- 8 MB of 16-bit ZBT SSRAM
- 30-bit VGA
- Stereo audio output
- 2 joystick ports
- PATA 2"5/3"5
- Configuration done with a DLP2232 board (FTDI chip) or a Propeller add-on board.
For the clock I use a 27 Mhz clock along with a MK2712 chip from IDT that can generate a PAL or NTSC clock.

To minimize the traces lengths between the FPGA and the SSRAM, I put the SSRAM "under" the FPGA with no termination resistor. I already have a similar FPGA-SSRAM design running at 66MHz without any trouble.

Regards,

Frederic
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on May 22, 2008, 11:00:25 AM
Here is an update on the FPGAARCADE board. Layout is complete, routing is going well. I should have some schematics up soon when the pin swapping is complete. It is mini-ITX in width and shrunk a bit vertically. (170mm x 80mm)It has more expansion than you can shake a big stick at.

/MikeJ
WWW.FPGAARCADE.COM
(http://farm3.static.flickr.com/2069/2512826753_e6ae1f610c_b.jpg)
(edit to fix link)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: tonyyeb on May 22, 2008, 12:01:55 PM
Watching this thread with great interest. Keep up the greak work mikej!
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Darrin on May 22, 2008, 04:12:06 PM
Quote

mikej wrote:
So, everybody happy with using the VGA/DVI/SCART connector on the main card and only having Composite out on the daughter card ??


Living in SCARTless USA, I'd rather have a composite or S-Video out on the card.  :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on May 22, 2008, 04:14:40 PM
Yes, this has been pointed out. I ran out of IO space.

The daughter board will have comp/s-video out. I may do a tiny little board which plugs into just the small connector in the bottom middle which has just the comp/s-video connector and coder on it. Thats what the extra hole to the right of the connector is for :)


Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Darrin on May 22, 2008, 05:20:10 PM
Quote

mikej wrote:
Yes, this has been pointed out. I ran out of IO space.

The daughter board will have comp/s-video out. I may do a tiny little board which plugs into just the small connector in the bottom middle which has just the comp/s-video connector and coder on it. Thats what the extra hole to the right of the connector is for :)


That works for me.  :-D
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Crom00 on May 22, 2008, 06:43:03 PM
This is all good news.

Get yourself a good factory and get this sucker made pronto!!!!

I find it amazing how much work is being done by end users compared to the IP onwers of the AtariST and Amiga brands.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: PeterSieg on May 23, 2008, 09:28:28 AM
Great news! Can't wait to see this getting real!
Do you have already a rough idea on the estimated price tag and some deadline like for example:

Finish layouts - ready for production: Mid June 08
1st batch ready - Mid July 08

Thanks again for your work!

Peter
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on May 23, 2008, 12:27:03 PM
Hi Peter,

One of the problems is that it is not just board design, there is a lot of other "stuff" to do to make sure it will work. For example, the DDR memory controller is being tested and I have ported most of the PIC code to the AVR.

Layout will be complete by end June and I have enough parts already to build 5 prototypes. These will be sent out to other developers. If all is well then I will kick off a small production run dependent on demand. I aim to get this done by mid August.

The price is going to more than MiniMig certainly, and it is very dependent on the number of boards we make. The board is a lot more flexible and is aimed at people who want to build it into an arcade machine etc as well. It is possible a cost reduced/portable version could happen in the future. The target price is sub $250/Euro160 but this could go up or down (hopefully down).

Cheers,
/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on May 23, 2008, 12:51:51 PM
Hi,

Could you post the latest specs please?

Thanks  :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on May 23, 2008, 02:16:10 PM
Basic specs:
FPGA Xilinx Spartan3e 1200 (3x MinMig)

Wolfson high quality DAC audio output(192K 24 bit)
DVI output with 30 bit Analogue video.
Video is filtered by a variable frequency cut-off filter for high quality TV / HD
High speed AVR processor with twin SPI connections for high speed read/write to memory card.
32MB of DDR memory (doubles as ROM)
Expansion connector for TV out.
Expansion connector for user IO (IDE etc)
Daughter board brings joystick and serial connectors above the other IO connectors to fit in a standard mini-itx case.
Molex (disk drive type) power connector for use in a case.
Two 30 pin connectors for user IO (wired in parallel with joystick inputs, useful for arcade game rigs)
JAMMA arcade daughter board

Option of onboard 68K processor, although I imagine this will be replaced with a soft core. The pins are wired up to the main expansion connector so on theory you could have a 680xx on an expansion board.

Platform aims (done or near done)
Amiga (Based on MiniMig code branch)
AtariST (my code)
Vic20
C64
BBCB
Spectrum
Bally
+some more in the pipe

Arcade (done or near done)
Pacman/Mrs Pac/Pengo etc
Frogger/Scramble
Defender
StarWars *
SpaceInvaders
Asteroids *

*an IO board to drive vector monitors is planned

170mm x 80mm size (mini-itx width)

EDIT : forgot 8 analogue inputs wired up to the AVR, so an expansion board could have analogue joysticks etc
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on May 23, 2008, 02:19:58 PM
I need a catchy name for the board, anybody got any ideas?
(Shouldn't be Amiga specific)

"Programmable Gaming Platform" is a bit too long
/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: tonyyeb on May 23, 2008, 02:33:37 PM
I'm not too good at this but an acronym usually works well when cleverly made into a real word that is also relevant.

General Arcade Machine Emulator (G.A.M.E.)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on May 23, 2008, 02:34:48 PM
nice one, top candidate so far :)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheMagicM on May 23, 2008, 02:46:18 PM
are YOU going to sell this when its made or are you going to take pre-orders?  How is Amiga emulation going to work? (meaning, do you need additional software etc.)

At $250, ready made, I'd take one.  Excellent work, keep us posted.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Darrin on May 23, 2008, 02:46:48 PM
Quote

mikej wrote:

Defender


OK, I'm happy.  Any chance of Pheonix too?  ;-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: tonyyeb on May 23, 2008, 02:51:42 PM
Quote

mikej wrote:
nice one, top candidate so far :)


Number 1 on a list of 1. Not bad going!
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Darrin on May 23, 2008, 02:56:58 PM
Quote

tonyyeb wrote:

General Arcade Machine Emulator (G.A.M.E.)


Amiga Retro System Emulator?  (A.R.S.E.)?
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on May 23, 2008, 02:57:39 PM
We will see how it goes, if I can get a good price on a small production run I will sell it myself. I am in discussions with some distributors also.

Like any FPGA based board it can be anything, it needs the circuit design to behave like the original hardware - note it is not emulation, it is gate level accurate and (if there are no bugs) functions as well as the original machine.

The AtariST code I have been working on should behave in all cases identically to the original chipset. Obviously it is possible to add new features as well. For the Amiga I have ported the MiniMig core logic from Dennis. I will hopefully be able to move the compatibility forward a bit as well.

(I am an ASIC/FPGA designer by trade)
/MikeJ
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on May 23, 2008, 03:02:49 PM
"Amiga Retro System Emulator"

mmm, tempting actually :)

Phoenix is certainly possible, 8085 based and custom audio chip make it a bit more tricky.

I am going for Gauntlet next as I have a 68K processor handy around.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: tonyyeb on May 23, 2008, 03:07:36 PM
Quote

Darrin wrote:
Quote

tonyyeb wrote:

General Arcade Machine Emulator (G.A.M.E.)


Amiga Retro System Emulator?  (A.R.S.E.)?


Did make me chuckle!
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on May 23, 2008, 03:32:15 PM
I think it's going to be G.A.M.E

General Arcade/Amiga/Atari/Anything Machine Emulator

:)

Any other offers ??
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Darrin on May 23, 2008, 03:51:13 PM
How about Custom Loadable Arcade/Amiga/Atari/Anything Platform (C.L.A.P)?

You could ahve slogans like:

Have you got The CLAP?  Fancy getting The CLAP?  Get The CLAP and play with your friends!  Give a loved one The CLAP for Christmas!

Can anybody think of some more?  ;-)  :-D
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: tonyyeb on May 23, 2008, 03:53:41 PM
Quote

Darrin wrote:
How about Custom Loadable Arcade/Amiga/Atari/Anything Platform (C.L.A.P)?

You could ahve slogans like:

Have you got The CLAP?  Fancy getting The CLAP?  Get The CLAP and play with your friends!  Give a loved one The CLAP for Christmas!

Can anybody think of some more?  ;-)  :-D


Oh dear... Things have started to go downhill!
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Darrin on May 23, 2008, 03:56:45 PM
Quote

tonyyeb wrote:

Oh dear... Things have started to go downhill!


I'm available for a job in marketing if anybody wants to hire me.  I'm sure Commodore would have snapped me up!  :-D
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on May 23, 2008, 05:58:11 PM
@all

Does this new motherboard mean that it is going to be in direct competition with the Minimig and eventually kill it off?

Good specs by the way. :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: tonyyeb on May 23, 2008, 09:34:00 PM
I would have thought so.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: HenryCase on May 23, 2008, 10:26:26 PM
Quote
tonyyeb wrote:
I would have thought so.


Yes and no. Both FPGAARCADE and Minimig can co-exist, but it seems a shame that we won't be able to speed up development time by using a single platform.

In fact there are a few other platforms to consider here. FrenchShark already mentioned in this thread that he is working on a Minimig compatible board. There's also the Minimorph project (I've been assured the website will be updated soon). There's the two brilliant Minimig v1.1 redesigns done by illuwater. Finally we have the Altera DE1 and DE2 versions of the Minimig (with the CPU built in to the FPGA).

Its great that all these versions exist, but I do hope that the work done on all projects will merge into one awesome version of the Minimig board... Minimig v2. It would be great to have a big enough FPGA to fit a fast 68k CPU core in alongside an AGA implementation (like from the DE1/DE2 design), as well as the best from all the rest of the projects:

1. Friendly form factors, illuwater has the right idea here.
2. Ethernet connection, one of the best features of the Minimorph IIRC.
3. JAMMA arcade daughter board from FPGAARCADE (not really essential but I think its an awesome idea!). On a more essential level, 32MB of DDR memory is a good idea.
4. I don't know much about FrenchShark's board, but 30-bit VGA sounds like a very welcome feature, especially for supporting 24bit AGA output.

It would also be wise to make sure the HDD or SD card interface offered fast read/write speeds (or as fast as possible). Whether its best to use a fast microcontroller or  handle the whole read/write operation from the FPGA I don't know.

An expansion port is a must.

We'd get where we wanted to go to quicker if we had a single reference platform to work from that was future proofed (as much as is feasible), though I'm grateful for all Minimig development.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: tonyyeb on May 23, 2008, 10:33:21 PM
My answer was based on the extra diversity of the FPGA-ARCADE which will mean more demand, more support and a lack of both for Minimig.

I'm sure given the choice of running a device that had Amiga OCS soley as a platform and running one that offered Amiga OCS (potentially ECS and AGA) plus 10-20 more others.... with potential for even more in the future... more people would choose FPGA-ARCADE over Minimig.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: FrenchShark on May 24, 2008, 12:11:10 AM
@mikej,

by chance, do you have a 68000 "clone" in VHDL ? (I do not consider TG68 from Tobias as a perfect clone since some 68000 bus signals are missing).
The reason is that on EAB, we were thinking about making an accelerator board for A500/A2000/A600 by using a FPGA.
http://eab.abime.net/showthread.php?t=36596
BTW, I am looking for an A500 to connect to a stratix dev board so I can spy the 68000 bus behaviour with SignalTap II and then replicate it in VHDL (I do not trust 100% Freescale docs :-)).
Any person on this forum can PM me for an offer.
I am in the US, btw.

Regards,

Frederic
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: HenryCase on May 24, 2008, 12:57:03 AM
Quote
tonyyeb wrote:
My answer was based on the extra diversity of the FPGA-ARCADE which will mean more demand, more support and a lack of both for Minimig.


Yes but tonyyeb, there is nothing stopping Minimig supporting more systems. This is a feature people were discussing before the Minimig was even released IIRC. The basis behind your convictions seems to be down to the more generic name of the FPGAARCADE, nothing more.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Tron2k2 on May 24, 2008, 04:21:46 AM
PM sent
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on May 24, 2008, 08:50:01 AM
Edited from this: (before people start taking the mickey, I am not very well today sorry)

What a shame, it looks like the end for the Minimig. :-(
Unless development continues obviously.

to this:

Sorry, what I meant was, it looks to me like it's the end for the Minimig if the Minimig developers move away from it and onto the FPGAARCADE.

We also need to see what ACube will do about it. They might decide to stop producing Minimigs
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: bloodline on May 24, 2008, 09:20:56 AM
Quote

TheDaddy wrote:
What a shame, it looks like the end for the Minimig. :-(

Unless development continues obviously.


That's a really odd post! "It's the end, unless it isn't!"... Anyway the Minimig is essentialy just software... And is required by the FPGAARCHADE so it's not even close the end.

In terms of the FPGA board the currently run the minimig code... It needs to be able to replace my A1200 to remain interesting.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: tonyyeb on May 24, 2008, 09:30:24 AM
Quote

HenryCase wrote:

Yes but tonyyeb, there is nothing stopping Minimig supporting more systems. This is a feature people were discussing before the Minimig was even released IIRC. The basis behind your convictions seems to be down to the more generic name of the FPGAARCADE, nothing more.


But isn't the Minimig limited to devices that ran a 68000?
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on May 24, 2008, 09:43:44 AM
Sorry, what I meant was, it looks to me like it's the end for the Minimig if the Minimig developers move away from it and onto the FPGAARCADE.

We also need to see what ACube will do about it. They might decide to stop producing Minimigs.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: cpfuture on May 24, 2008, 09:52:15 AM
Whichever project 'survives' the longest, it is so inspiring to see the community designing stuff like MiniMig, NatAmi and FPGAARCADE.  Good stuff!
:cheers:
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: HenryCase on May 24, 2008, 10:04:05 AM
Quote

bloodline wrote:
Quote

TheDaddy wrote:
What a shame, it looks like the end for the Minimig. :-(

Unless development continues obviously.


That's a really odd post! "It's the end, unless it isn't!"... Anyway the Minimig is essentialy just software... And is required by the FPGAARCHADE so it's not even close the end.


Second guessing what TheDaddy was referring to, I think he means the end of the Minimig v1.1 hardware (TheDaddy is making a case for this device so this would matter to him).

The Minimig firmware will continue in some form, but the various hardware platforms that are supporting it (or aim to support it) may not. The biggest drawback with the Minimig v1.1 design is its lack of expandability, which meant that it was never going to serve our needs on a long term basis (which is why I laid out points for the v2 Minimig hardware design which would provide hardware that was more future proofed).

That is not to say that the Minimig v1.1 design is useless, far from it, it is a capable bit of kit. It would be possible to upgrade the FPGA firmware so it ran a more compatible OCS or ECS chipset (running the vast majority of games and apps for A500/A600/A1000/A2000). Not only that, but it is capable of recreating other non-Amiga systems, as well as usage in other forms (playing mp3s for example, maybe even videos).

I would say that the FPGAARCADE is complimentary to the Minimig v1.1 and vice versa, as most of the work done on one could be ported to the other.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: HenryCase on May 24, 2008, 10:22:36 AM
Quote

tonyyeb wrote:
Quote

HenryCase wrote:

Yes but tonyyeb, there is nothing stopping Minimig supporting more systems. This is a feature people were discussing before the Minimig was even released IIRC. The basis behind your convictions seems to be down to the more generic name of the FPGAARCADE, nothing more.


But isn't the Minimig limited to devices that ran a 68000?


There's plenty of systems that used a 68000, and the FPGA alone could emulate a number of older systems. It might even be possible to use a FPGA-recreated CPU with the 68000 acting as an accelerator to give us even more system options. The FPGAARCADE does have a bigger FPGA than the Minimig v1.1 and a number of other nice features, but to replace the Minimig v1.1 entirely it would need to provide a level of future proofing which I'm not convinced it does.

Realise that the cores first designed for the FPGAARCADE and Minimig v1.1 will be fairly simple systems like the Atari 2600, Amstrad CPC, etc... (or ones that rely on the power of the 68000 CPU). By the time we get to more complex systems (the NeoGeo is probably the most advanced system we can hope for the Minimig v1.1 to handle) then the Minimig v1.1 would have had at least a few years on the market and Minimig v2 could be released, providing the future proofing we need (if the designer has any sense).

I'm not knocking the FPGAARCADE, I just think it will be a complimentary device rather than a Minimig-killer.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: itix on May 24, 2008, 10:34:05 AM
Quote

What a shame, it looks like the end for the Minimig. :-(

Unless development continues obviously.


Strange logic.

(Added in an edit:)
AFAIK Minimig is open sourced and anyone can continue improving Minimig. If nobody does that Acube can start selling FPGAARCADE instead... or someone else can continue to sell Minimig.

But really, if Minimig is not sold anymore, so what? There is FPGAARCADE.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on May 24, 2008, 10:35:45 AM
>>Second guessing what TheDaddy was referring to, I think he means the end of the Minimig v1.1 hardware (TheDaddy is making a case for this device so this would matter to him).

That too. Personally it would be a bit of a disaster if the Minimig board were to be dropped and not produced anymore. I have spent and spending hundreds and hundreds of £ (GBP) on a custom case for the Minimig.

I would love if people bought it. At least to recover my money, to see that one of my designs has finally managed to come to life and offer something to the community since not being a programmer I can only offer design stuff. I think of the dozens of Minimigs out there without a case and they need one.  

I have also got the Natami case in the pipeline and maybe one for the FPGAARCADE but it will depend on the success of the Minimig case, the more I sell the more money I can invest in future designs for Amiga compatible platforms.

>>The biggest drawback with the Minimig v1.1 design is its lack of expandability, which meant that it was never going to serve our needs on a long term basis.

I think the Minimig has to be seen as good replacement for the A500 (and other machines, if it happens, C64, Vic20 etc.) like a console, that is what I bought it for really, to have a ready to go console with my favourite Amiga games.

 :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on May 24, 2008, 10:37:06 AM
@itix

>>What a shame, it looks like the end for the Minimig.
Unless development continues obviously.

Strange logic.


I have already explained myself about that. Read above.

Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on May 24, 2008, 10:44:03 AM
>>Strange logic.

(Added in an edit:)
AFAIK Minimig is open sourced and anyone can continue improving Minimig. If nobody does that Acube can start selling FPGAARCADE instead... or someone else can continue to sell Minimig.
But really, if Minimig is not sold anymore, so what? There is FPGAARCADE

Read post by HeanryCAse:
Second guessing what TheDaddy was referring to, I think he means the end of the Minimig v1.1 hardware (TheDaddy is making a case for this device so this would matter to him).

and what I say here:
That too. Personally it would be a bit of a disaster if the Minimig board were to be dropped and not produced anymore. I have spent and spending hundreds and hundreds of £ (GBP) on a custom case for the Minimig.

I would love if people bought it. At least to recover my money, to see that one of my designs has finally managed to come to life and offer something to the community since not being a programmer I can only offer design stuff. I think of the dozens of Minimigs out there without a case and they need one.

I have also got the Natami case in the pipeline and maybe one for the FPGAARCADE but it will depend on the success of the Minimig case, the more I sell the more money I can invest in future designs for Amiga compatible platforms
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: itix on May 24, 2008, 10:44:42 AM
Quote

That too. Personally it would be a bit of a disaster if the Minimig board were to be dropped and not produced anymore. I have spent and spending hundreds and hundreds of £ (GBP) on a custom case for the Minimig.


Ok, that explains your concern very well :) But that is also part of the business.

However nothing stops you from producing new Minimigs. It is going to take a while until replacement boards are available. Act quickly and collect money from the market when you still can.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on May 24, 2008, 10:51:44 AM
@itix

Thank you for understanding.

My plan was to offer a Minimig case for the following reasons:

1) I think the Minimig deserves a complete enclosure, to keep it safe and make it look good and a bit more complete

2) To make one of my designs into reality

3) To invest the money from the Minimig into a new design for; Minimig v2, FPGAARCADE, Natami.
This will depend on how well the sales of the Minimig v1.1 go of course
4) Offer something to the community. I am not a programmer, but I massive Commodore/Amiga fan, since....1982.
 :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: boing4000 on May 24, 2008, 11:53:20 AM
I think the minimig (original one) will never die. It already has its spirit and many users like this little clean and neat board. Some debugging is still necessary and I belief Dennis and other fans will keep doing that.

Clearly due to open source and free minds the minimig will spread out and get new "home" and forms with differend components. This should be OK but dont let us start any fight or competition in "my minimig is better then others"... that would be sad!
Right now we have enough of this kind of fighting arround the globe. Just live and let live ;-)

We should be happy that our Amiga is now able to work inside an FPGA and no longer depend on original (old) chips that can fail any minute. So I think its time to celebrate :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Darrin on May 24, 2008, 01:42:03 PM
Just look at the Minimig as the original A1000.  I always expected an A2000 or A500 to follow close behind, but without people showing support for the original and being prepared to pay for it then I don't think there would be the same enthusiasm for future models.

I bought my Minimig to see what was possible and I always intended to upgrade to a better design when one became available.  When that happens, I'll pass my Minimig v1.1 onto my kids.

@The Daddy:
This is why I was concerned by the amount of time and money you seemed to be putting into your case design.  To be honest, I could never see you selling more than a couple of cases judging by the costs of your limited production run.  Also, you're too late into the market and most Minimig users will have already put their boards into some sort of case.  Wizard sold his cases because he was ready and waiting for the first production run while I'm afraid you've missed the boat.
If you want to make a case then I'd recommend waiting for the final pre-production GAME/ARSE*/CLAP to be revealed and then jump in quickly and run a batch of 30-50 boards off as quickly as you can and have them ready for the first production orders.

* I'm also going to suggest:

FPGA Entertainment Computer Klassic (F.E.C.K)
Designer Retro Implemented Niche Komputer (D.R.I.N.K)
Grand Interactive Retro Leisure System (G.I.R.L.S)

and we can use father Jack Hacket on the posters:
http://a980.ac-images.myspacecdn.com/00288/97/94/288234979_l.jpg
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on May 24, 2008, 02:05:56 PM
@Darrin

>>@The Daddy:
This is why I was concerned by the amount of time and money you seemed to be putting into your case design. To be honest, I could never see you selling more than a couple of cases judging by the costs of your limited production run. Also, you're too late into the market and most Minimig users will have already put their boards into some sort of case. Wizard sold his cases because he was ready and waiting for the first production run while I'm afraid you've missed the boat.

Well it's too late now to backtrack. There are lots of people out there with a Minimig and no case, hopefully they'll buy it and support another "Amiga" developer.

Wizard's case is two pieces of plexiglass/perspex and some spacers and screws for £20 (GBP) circa. I am offering an enclosed solution, sure it will be more expensive but then these are the costs in today's market.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Darrin on May 24, 2008, 02:55:09 PM
Quote

TheDaddy wrote:
Well it's too late now to backtrack. There are lots of people out there with a Minimig and no case, hopefully they'll buy it and support another "Amiga" developer.


A lot of people are happy to voice support, but when it comes to actually opening up their wallets then things change quite quickly.

Quote
Wizard's case is two pieces of plexiglass/perspex and some spacers and screws for £20 (GBP) circa. I am offering an enclosed solution, sure it will be more expensive but then these are the costs in today's market.


True, but it was "cheap" and did the job, plus it was available at a time when people were ordering the hardware.

I hope you sell what you make, but if I was you then I'd post a final price, shipping costs and then try and get some names first.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on May 24, 2008, 03:00:55 PM
@Darrin

>>A lot of people are happy to voice support, but when it comes to actually opening up their wallets then things change quite quickly.

I am with you on that, hopefully it won't be the case.

>>True, but it was "cheap" and did the job, plus it was available at a time when people were ordering the hardware.

That is true too, but Minimigs will be available for another 4-5 months I hope.

>>I hope you sell what you make, but if I was you then I'd post a final price, shipping costs and then try and get some names first.

Soon.
 :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: bloodline on May 24, 2008, 04:00:02 PM
Quote

TheDaddy wrote:

Wizard's case is two pieces of plexiglass/perspex and some spacers and screws for £20 (GBP) circa.  


Ohhh... I didn't know you could buy those cases! I actually rather liked them... They seem to fit with the whole hobby thing!
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on May 24, 2008, 04:41:58 PM
>>Ohhh... I didn't know you could buy those cases! I actually rather liked them... They seem to fit with the whole hobby thing!

I am not sure if you can still buy them but you could always contact Wizard.:-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: bloodline on May 24, 2008, 04:44:31 PM
Quote

TheDaddy wrote:
>>Ohhh... I didn't know you could buy those cases! I actually rather liked them... They seem to fit with the whole hobby thing!

I am not sure if you can still buy them but you could always contact Wizard.:-)


I'd need a MiniMig first.. otherwise it's a rather dull little perspex box... :-D
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on May 24, 2008, 04:46:32 PM
@bloodline

>>They seem to fit with the whole hobby thing!

My case wil be a hobbistic thing too, I am not Apple you see
 :-D
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: AJCopland on May 24, 2008, 04:46:46 PM
@TheDaddy
They make a nice simple case for the first ones I'm building/built but I'd be interested in seeing what your case looks like.

The engineer I'm building them with has some interesting ideas for other things to add to them but we don't see any reason to go to a different form factor for now as most of the changes will actually save some space!

Andy
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on May 24, 2008, 04:48:38 PM
@bloodline

>>I'd need a MiniMig first.. otherwise it's a rather dull little perspex box...

I think that Amigakit and Vesalia are selling them again, £127.99 :-D
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on May 24, 2008, 05:26:53 PM
>>They make a nice simple case for the first ones I'm building/built but I'd be interested in seeing what your case looks like.

Did you make the ones for Wizard? I don't understand...or are you make yet another case?!

>>The engineer I'm building them with has some interesting ideas for other things to add to them but we don't see any reason to go to a different form factor for now as most of the changes will actually save some space!

Mine is just a simple case which encloses the Minimig completely with access to the ports. To cut costs I dropped the reset switch which is now a simple hole like on CD_ROMs.
I am waiting for the sales manager to come back to me about the price of an EMI coating then it should be ready to go into production.

The original would have had a price tag of about £75 but the engineer I saw last Wednesday told me that all the fancy stuff had to go to cut costs. I might get pre-orders since I will have to recover the prototype costs (hundreds of pounds) and get some cash for my next projects.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: AJCopland on May 24, 2008, 05:55:35 PM
@TheDaddy
Hah sorry for confusing you. I meant that Wizards perspex sheets made a nice simple case for the first MiniMigs that I'm building up :-D and that I'd be interested in seeing you case design for future MiniMigs that we're planning.

Andy
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on May 24, 2008, 06:52:53 PM
>>Hah sorry for confusing you.

It's ok, I am often confused :-)

>>I meant that Wizards perspex sheets made a nice simple case for the first MiniMigs that I'm building up

How many have you got??!

>>and that I'd be interested in seeing you case design for future MiniMigs that we're planning.

Oh cool, keep me in mind for future Minimigs motherboards in need of a case, I am already sketching one for the Natami and one for the FPGAARCADE, let me know.

Thanks :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Fats on May 24, 2008, 09:34:08 PM
Quote

FrenchShark wrote:
@mikej,

by chance, do you have a 68000 "clone" in VHDL ? (I do not consider TG68 from Tobias as a perfect clone since some 68000 bus signals are missing).
The reason is that on EAB, we were thinking about making an accelerator board for A500/A2000/A600 by using a FPGA.

...

Frederic


I don't think you need to fully implement the 68000 bus signals. If you for example want to make a board for the A1200 you just need to generate the needed signals for the trap door bus. This may save some periphery logic.

greets,
Staf.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: FrenchShark on May 24, 2008, 11:22:47 PM
Quote

Fats wrote:
Quote

FrenchShark wrote:
@mikej,

by chance, do you have a 68000 "clone" in VHDL ? (I do not consider TG68 from Tobias as a perfect clone since some 68000 bus signals are missing).
The reason is that on EAB, we were thinking about making an accelerator board for A500/A2000/A600 by using a FPGA.

...

Frederic


I don't think you need to fully implement the 68000 bus signals. If you for example want to make a board for the A1200 you just need to generate the needed signals for the trap door bus. This may save some periphery logic.

greets,
Staf.


Yes, you are right. I checked the A500 schematics and I have seen that at least 7 signals are not used by the A500 hardware :
FC0 - FC2, BG, BR, BGACK and BERR.
Plus, I think, with the FPGA we can get rid of VPA and HALT.

regards,

Frederic
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on May 25, 2008, 09:18:18 AM
@mikej

I think I have found you a name for it:

M.A.C.S

Multi Arcade (and) Computer System

Just let me know if you like it, I might use it on my next case design. :-D
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on May 25, 2008, 10:46:31 AM
Hi all,

"Does this new motherboard mean that it is going to be in direct competition with the Minimig and eventually kill it off?"

Not necessarily. MiniMig, like the FPGAARCADE board (whatever we call it) is just a platform to run the firmware on. The FPGAARCADE board is more flexible, and I also write the firmware for it so it will be more compatible eventually and run more systems. I am designing this board as I want a better platform to test the code I write on.

I had a chat to Dennis about this and we are both keen to keep a common codebase as much as possible. There will be some additions to the MiniMig firmware to make it work on my board, and hopefully most improvements will be picked up by the MiniMig build as well.

The FPGAARCADE board has an external 68K and the FPGA is big enough to fit an internal core. The idea is the first few boards will be fitted with the 68k and used to test the internal core. You can run both processors in lock step and see if there is any differences. They you can fix the softversion. Then you can not-fit the external 68K and use the softcore (which can be clocked faster). All the pins that the external 68K uses go to the expansion connector so they can be used as IO, or an external processor can be used. I maintain the T65 and T80 (6502/z80) cores on opencores, and this was the trick we used to bash out most of the bugs.

The AVR handles the SD card file system but all the processing is done in the FPGA. I need to do this to handle Atari disk formats as well.

Ethernet port is also going to be an option for the daughter board.

Cheers,
Mike.

Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on May 25, 2008, 10:51:07 AM
@mikej

>>"Does this new motherboard mean that it is going to be in direct competition with the Minimig and eventually kill it off?"

I was refering to the hardware, since I have made a case for it...

So how about M.A.C.S.?

Multi Arcade (and) Computer System
or
Multi Arcade (and) Classic Systems


 :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: bloodline on May 25, 2008, 11:28:24 AM
Quote

TheDaddy wrote:
@mikej

>>"Does this new motherboard mean that it is going to be in direct competition with the Minimig and eventually kill it off?"

I was refering to the hardware, since I have made a case for it...

So how about M.A.C.S.?

Multi Arcade (and) Computer System
or
Multi Arcade (and) Classic Systems
 


Very nice... but you need to choose a name this isn't going to be easily confused with an existing computing platform...
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on May 25, 2008, 07:52:47 PM
>>So how about M.A.C.S.?

Multi Arcade (and) Computer System
or
Multi Arcade (and) Classic Systems
--------------------------------------------------------------------------------
Very nice... but you need to choose a name this isn't going to be easily confused with an existing computing platform...



I thought that was half the fun:-D
Stepping on Apple's toes  :-)

How about A.M.i.C.A = Advanced Multi Computer (and) Arcade

or

M.A.G.I.C = Multi Arcade GamIng Console/Computer

A.C.E. = Advanced Computing Emulation

 :-D
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: bloodline on May 25, 2008, 07:59:32 PM
Quote

TheDaddy wrote:
>>So how about M.A.C.S.?

Multi Arcade (and) Computer System
or
Multi Arcade (and) Classic Systems
--------------------------------------------------------------------------------
Very nice... but you need to choose a name this isn't going to be easily confused with an existing computing platform...



I thought that was half the fun:-D
Stepping on Apple's toes  :-)


I don't think you really want to step on their toes... really, you don't :-)

Quote

How about A.M.i.C.A = Advanced Multi Computer (and) Arcade

or

M.A.G.I.C = Multi Arcade GamIng Console/Computer

A.C.E. = Advanced Computing Emulation

 :-D


R.A.C.E = Retro Amiga Computing Emulation.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on May 25, 2008, 08:47:19 PM
>>I don't think you really want to step on their toes... really, you don't

Yeah why not...Jobs ain't that scary...

It can't be Retro Amiga Computing Emulation because it will emulate other stuff too.

Compromise:

M.E.C.A.T. = Multi Emulated Computer Arcade Technology
  :-D
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: bloodline on May 25, 2008, 09:05:41 PM
Quote

TheDaddy wrote:
>>I don't think you really want to step on their toes... really, you don't

Yeah why not...Jobs ain't that scary...

It can't be Retro Amiga Computing Emulation because it will emulate other stuff too.

Compromise:

M.E.C.A.T. = Multi Emulated Computer Arcade Technology
  :-D


Retro Arcade and Computer Emulation = R.A.C.E
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on May 25, 2008, 09:13:18 PM
We got a name!

 :-)

Or have we? It gives the idea of something fast...
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on May 25, 2008, 10:51:19 PM
Some really good suggestions. I think we want to stay away from certain fruit related products.

I really wouldn't recommend even thinking of a case until the thing is manufactured, routing may cause minor placement changes.
/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Darrin on May 25, 2008, 11:23:15 PM
Quote

mikej wrote:
Some really good suggestions. I think we want to stay away from certain fruit related products.
/Mike


Bugger!  I just spent the last 24 hours trying to come up with something that spells "pomegranate".  :-(

;-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: TheDaddy on May 26, 2008, 07:19:24 AM
>>Some really good suggestions. I think we want to stay away from certain fruit related products.

LOL! I have just spent an hour thinking about:

Banana Computers
Grapes Computers
Kiwi Computers

but I got a winner......PineApple Computers! :-)

>>I really wouldn't recommend even thinking of a case until the thing is manufactured, routing may cause minor placement changes.

But I will need dimensions and possibly a board to work on the case.

Uhm...still thinking about PineApple....pineapple computers...juicy pineapple... :lol:
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: jensl on May 26, 2008, 01:44:54 PM
MIKE
M.I.K.E.

More
Indipendent-minded
Kit for
Evolution.
 :idea:
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on May 26, 2008, 10:30:58 PM
mm

Computing and Retro Arcade Platform

is sadly C.R.A.P :(

R.A.P Retro Arcade Platform ?

Retro Arcade Gaming Engine/Emulation (R.A.G.E.)
Retro Arcade Computing Engine/Emulation (R.A.C.E.)

Generic Arcade Machine Emulator (G.A.M.E.)

quite hard choice this
/MikeJ
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: HenryCase on May 26, 2008, 11:24:47 PM
Fully
Universal
Computer
Kit
On
Fantastic
FPGA

So what do I win? ;-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on August 01, 2008, 06:38:05 PM
Name suggestion: "Mikes generic platform implementer v1"
By including creators name, less confusion. Generic, not limited. Platform fits both computers and arcades. And implementer avoids the culprint with emulation, simulation etc.. which is not a correct description. Clone might also be nice description.

As for killing of Dennis Minimig. It's like A2000 killing off A500.

With proper module interfaces. The SAME codebase can be used by simple replace the board specific modules. And the whole issue of diverging codebases is eliminated.
Anyway to get a chance at a 68020/AGA (A1200) implementation. A larger FPGA is a MUST.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Dr_Righteous on August 01, 2008, 07:15:46 PM
Minimig is more than the hardware it was designed on. It's the FPGA program that runs it. And as I recall it's the program (modified) being used on this board.

It's not death, it's just a hardware upgrade.

This is exciting stuff!
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: trip6 on August 01, 2008, 07:45:48 PM
How about:

M.A.C.E

Multiple Arcade \ Computer Emulation
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on August 01, 2008, 08:10:41 PM
It's not an emulation..
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: trip6 on August 01, 2008, 08:40:38 PM
M.A.C.E

Multiple Arcade \ Computer Enigma

Satisfied?
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on August 02, 2008, 06:23:39 PM
mmm about to publish the schematics, really need to choose a name....

Generic Retro Machine Emulation (G.A.M.E.)

Anybody think of another word that doesn't imply "emulation"?

/Mike




Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on August 02, 2008, 06:24:09 PM
that starts with "e" :)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on August 02, 2008, 11:58:17 PM
I have posted the current schematics on
www.fpgaarcade.com

Comments & questions are welcome.

Mike.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: JimS on August 03, 2008, 02:22:20 AM
Quote

mikej wrote:
that starts with "e" :)


How about "enabler"
or "emplementation" and claim the misspelling is a marketing thing. ;-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: jkonstan on August 03, 2008, 02:39:34 AM
Mike,

I did not see the termination resistor (@ 100 ohm depending upon the actual Zdiff of Mem_CLK_P & Mem_CLK_N) across the DDR diff pair of Mem_CLK_P & Mem_CLK_N. This termination resistor should be placed near U6, the DDR IC (TSOP66).

  :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on August 03, 2008, 12:40:20 PM
Ouch.. the impedance chores of DDR. Better stay with SDRAM ;)
Guess we may have some reflections ..?

As for naming, it should make sense. And any words involved should be easy to say phoneticly. Or else people are most likely to call it something else very quick.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: jkonstan on August 03, 2008, 01:33:16 PM
DDR takes a bit more simulation work than SDRAM; however, the memory bandwidth performance boost vs SDRAM is worth the effort if you have access to a SI simulation tool such as Hyperlynx.


 :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: jkonstan on August 03, 2008, 01:40:04 PM
DDR pcb layout is more than just reflections & crosstalk.
DDR pcb has to be impedance controlled, and you have to have a range/window of trace length matching for different group of DDR I/O in order to meet timing requirements of DDR.
 :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on August 03, 2008, 03:28:36 PM
How feasable is to make a working pcb with only one DDR memory + one FPGA in close proximity without any non-free simulation tools?, or even without?
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on August 03, 2008, 04:33:56 PM

"I did not see the termination resistor (@ 100 ohm depending upon the actual Zdiff of Mem_CLK_P & Mem_CLK_N) across the DDR diff pair of Mem_CLK_P & Mem_CLK_N. This termination resistor should be placed near U6, the DDR IC (TSOP66)."

The memory clocks are complimentary signals, not differential so I have got two 51R to VTT placed after the memory. I would use a 100R between the P and N signals if I did not have a termination supply.

As the traces are quite short, and the board is pretty close to 50R on the signal layers, I think I could actually get away without the termination on the rest of the signals, certainly on the address lines. I have run it through Hyperlynx and the signals look ok, but they are better with the termination.

I think what will happen is I'll build a test board without the terminators and just a 100R across the clock nets and see how wide the margins are. A cost reduced board may follow later.
Cheers,
Mike.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on August 03, 2008, 04:50:05 PM
"DDR pcb has to be impedance controlled, and you have to have a range/window of trace length matching for different group of DDR I/O in order to meet timing requirements of DDR."

I am matching all the DDR signals to the clock pair within 50ps. You can cheat a bit and fiddle things with the FPGA to an extent to put the clock in the correct phase with respect to the write data. Recovering the read data is a right pain.
/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: jkonstan on August 03, 2008, 08:07:12 PM
Mike,

Most DDR designs that I have worked on or have seen had used had a @ 100ohm termination resistor across the DDR_CLK+/DDR_CLK- nets even when there was a termination supply and resistors to VTT(1.25V). Micron does call the DDR_CLK+/DDR_CLK- nets a differential clock (See Micron Technical note TN-46-14: Hardware Tips for Point-to-Point System Design).
 
DDR is a little more flexible with respect to trace length mathcing.

Here are the generic DDR_SDRAM net rules that I typically use:
------------------------------------------------------------------------------------------
 1. The DDR net groups (databyte0, databyte1, and Address/control) should be within 100mils of each other in length.
 2. All DDR nets should be within 500mils of each other in length.
 3. The DDR_CLK+/- should be within 20mils of each other in length.
 4. The DDR_CLK+/- should have @ Zdiff=100ohms+/-10ohms.
 5. Target Impedance for DDR nets should be in range 40 to 50 ohms.
 6. The DDR Data nets & DQMx for DATABYTEx in relation to data Strobe DQSx should be within plus/minus 35 mils in length.

Cost reduced DDR termination (short trace lengths and only a couple DDR ICs) would would genrally consist of series termination on DDR nets, a @ 100ohm termination resistor across the DDR_CLK+/DDR_CLK- nets, and VREF (1.25V) generation via resistor divider (1K/1K) of 2.5V DDR supply with a small .01uf cap for each Vref pin for each DDR IC. In Cost reduced DDR termination scheme, the National LM2995 VTT (1.25V)termination supply can be eliminated along with all of the resistors to VTT.

As long as you run simulations in Hyperlynx, you will be fine.

 :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on August 03, 2008, 08:39:34 PM
Good advice, thanks.
I have been working with DDR2 recently (I design ASICs which use DDR2 external memory). We made a few boards and experimented. We found that for short traces you can use no termination up to at least 600Mbit as long as you are point to point with no additional vias. Adding the series termination made things slightly worse actually, we think due to the via stubs.  

With the Spartan3 I haven't got the same control over the memory timing or drive strength/termination I get with Virtex5 or the ASICs, so I am being a bit more careful. I've put the termination resistors in the middle of the trace which works well in simulation. It also has the benefit I can remove them to test that configuration without any stubs.

I saw the Micron app note. As far as I can figure out the input buffers for the clocks are single ended, so in theory the traces should be 50R impedance and terminated to VTT. Most people do use a 100R across the clocks, but if there is any skew between the clocks the VTT termination would work better. In our designs with faster memories (RLDRAM and SRAM) we always use a resistor to VTT. I could save a resistor though .....

Cheers,
Mike.

Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on August 03, 2008, 11:17:22 PM
ha ha, that should be complementary clocks not complimentary - although I quite like the idea of free clocks :)

I am discussing this 100R verses two 50R with some other people, looking around you see both. I am not sure at the moment which is "more correct" - they are probably both fine.
/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: jkonstan on August 04, 2008, 02:54:22 AM
Mike,

an extra set of 0402 or 0603 pcb pads & a resistor are very inexpensive; thus, I always include the pcb pads for a termination resistor across the DDR_CLK+/DDR_CLK- nets.

  :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Methuselas on September 10, 2008, 08:36:32 PM
Why not just call it Perfectly Obsolete Retro Nostalgia.

The way you guys are talking about it, it may as well be.


 :roll:  :crazy:  :lol:


[EDIT] - There's an ad with a bunch of guys sitting in front of a TV, all holding a joystick attached to this device box. I can see the tag-line now:

"When the wife's gone, it's time to break out the P.O.R.N.!"

Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on January 02, 2009, 08:38:24 PM
"Why not just call it Perfectly Obsolete Retro Nostalgia."

mmm, actually that's not a bad idea :)

Updated layout pictures from the complete board at www.fpgaarcade.com
It's off to manufacture we go ....

Regards,
/Mike

Edit: Fixed link, thanks Chris.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Darrin on January 02, 2009, 08:49:35 PM
Quote

mikej wrote:

Updated layout pictures from the complete board at www.fpgaarcade.com. It's off to manufacture we go ....

Regards,
/Mike

Edit: clicking on the link doesn't seem to work, but then hitting reload does. Odd.


Excellent work/news Mike.

I hope you don't mind if we create a new section over on www.Minimig.net for your board.

Personally, I cant access the page at all from AOL.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: tonyyeb on January 02, 2009, 09:58:57 PM
Quote

mikej wrote:

Edit: clicking on the link doesn't seem to work, but then hitting reload does. Odd.


You have a full stop at the end of the link.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on January 02, 2009, 10:36:29 PM
"Excellent work/news Mike.

I hope you don't mind if we create a new section over on www.Minimig.net for your board."

Sure Darrin, feel free.
The forum at fpgaarcade will be active shortly as well.
/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on January 08, 2009, 12:05:14 AM
Latest schematics are up if anybody wishes to have a look / comment. A few days to go until manufacture now....

www.fpgaarcade.com

Cheers,
MikeJ
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: jkonstan on January 09, 2009, 06:21:41 AM
Mike,

After looking at your updated schematic, the updated design looks pretty good to me. The only thing that I might have done differently is the power supply design because using a fixed 5V input power supply can lead to a fried pcb if someone uses the wrong power adapter (wall wart). At a Xilinx training seminar that I attended last year, our instructor fried a brand new Spartan3A DSP evaluation board by using the wrong power adapter (used a 12V power adapter instead of the required +5V power adapter).

Thus, you should populate zener D9 and R121 polyfuse and depopulate R120 for protection against this occurance since these populated pcbs are likely to cost a fair amount.
Since Dc to DC switchers were used, your other option would have been to just design the switchers with a wider DC input voltage range (5v to 19v) and add a +5V output switcher to the design.
 

Keep up the good work and keep us posted on the prototype pcb fabrication!

 :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Illuwatar on January 09, 2009, 12:47:41 PM
Did a quick look at the schematics - interesting thing. I may be interested in one if the price is acceptable. But as the previous poster said - requiring external +5V with no tolerance is a bummer. That was the first thing I modified in the MiniMig design when I made the mini-itx version. Polarity reversal protection, wide input voltage acceptance (an on-board switching regulator for the +5V - Something out of the SimpleSwitcher series maybe) and over voltage protection in combination with EMI-filters.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on January 09, 2009, 01:23:48 PM
Hi Chaps,
Thanks for the comments.

One thing I added in the latest version was the polyfuse and TVS diode for this reason. They will be populated assuming there is no problem with them.

The TVS diode will start to clamp at 5.somthing volts and the polyfuse will open. Likewise for reverse polarity the diode will go into full conduction and the fuse will open (fast). It is not a perfect solution as the 5v devices are still exposed to some risk, but I think it is an acceptable compromise. There is not much on the 5V rail apart from the switchers and protection diodes.

I would prefer a 12v to 5v step down like you did, but I can only fit it on if I compromise the other power supplies, and I am expecting to be pulling quite a bit of power on the FPGA core and 3V3 rails. The 2V5 supply also drives the termination regulator so I can't do much there either. I am trying to keep the cost down as much as possible also.

I imagine that normally the board will be used in a mini-itx case with a decent 5v regulated supply.


These polyfuses by the way fix themselves when the problem is removed.

Best,
Mike.
oh Illuwater, I am also in Sweden (Stockholm) although I am not Swedish.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on January 09, 2009, 01:31:47 PM
There is going to be another update.

Originally for hard disk usage I was going to use an IDE connector and either hard disk or CF disk on the daughter card. It would be a great feature to have hardfile support from the SD card for the base platform. The AVR SPI transfer speed in this case is limited to about 300KBytes per second. This is ok for floppy and booting, but not great.

So, I am going to add a multiplexer which lets the FPGA master the SD card directly. The AVR will still contain the knowledge of the file system and talk over the current SPI link to the FPGA. The FPGA will then enable it's second SPI interface (reusing the 4 IO programming pins which conveniently already go to the AVR part of the PCB) and take over the job. Depending on the SD card we should get transfer speeds of several MBytes per second. This will delay the board a week or so.
so comments can still change things :)
/Mike

Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on January 13, 2009, 10:01:16 AM
While I am changing the SPI routing, Jakub has convinced me to replace the AVR with the ARM7S chip he uses for the hardfile demo. Amazingly, the cost of the chip and the USB socket is the same as the AVR. I have managed to fit all on the PCB, just need to fix the routing (again).

The main benefit is I can pick up Jakub's work for hardfile support, which save time. It also goes faster, and we have gained a USB port for flashing the microcontroller - and we can potentially use the USB port from the FPGA.

Updated schematics and layout in a few days. Assembly pushed back two weeks.

/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: tonyyeb on January 15, 2009, 09:34:06 AM
@mikej

Remind me Mike, how much are these boards going to be (assembled)? And will we be ordering from you or a supplier?
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on January 15, 2009, 10:23:58 AM
At the moment there are too many variables to get an exact pricing, I am waiting for some more quotes. The aim is less than 200E for boards from the initial small run. Production boards should be significantly cheaper. There are a few options for suppliers, but initially they can be ordered through me.

Best,
Mike.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Palm on January 15, 2009, 11:50:33 AM
Hi. You know, Toni Westbrook made some PSX64 adapters which lets you use PSX controllers amiga/atari/c64. The nice thing is that you can use wireless psx controllers, plus the pic (?) on his adapter opens for macro-editing buttoncombinations for the psx buttons not used as fierbutton. This is programmable directly from the psx controller using dedicated buttons for this.

It would be nice if you were able to implement this on your pcb so that we can natively use psx ontrollers via this mega8 chip. Of course, general outputs for vintage joysticks are also needed :)

This enables "sofa friendly playing (SFP)" when they are wireless :-)

http://www.synthdreams.com/psx64.php for more info and you can find schematics + firmware here: http://www.synthdreams.com/knowledgebase?art=10


Regs
Espen
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on February 04, 2009, 12:14:44 AM
Latest ARM schematics and layout update.
www.FPGAArcade.com

right, this is it, no more changes....
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on March 24, 2009, 07:19:46 PM
For those interested I have posted pictures of the Replay board PCB I have just brought back from China.

www.fpgaarcade.com

I will get the boards built this week, but it will take a little while before we have the system up and running.

/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on April 05, 2009, 10:12:17 PM
Board is complete and initial testing is going well.
Power supplies, FPGA and ARM controller all working ok.
/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: jkonstan on April 05, 2009, 10:23:18 PM
Keep us posted on your progress on the FPGAARCADE board Mike.

 :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Darrin on April 05, 2009, 10:26:03 PM
Quote

mikej wrote:
Board is complete and initial testing is going well.
Power supplies, FPGA and ARM controller all working ok.
/Mike


Great news.  What sort of transfer speeds are you getting from the hard file, better than on the Minimig v1.1 or the same?
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on April 05, 2009, 10:46:55 PM
"Great news. What sort of transfer speeds are you getting from the hard file, better than on the Minimig v1.1 or the same?"

Thanks. Not there yet, I'll keep you posted.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Darrin on April 05, 2009, 11:14:46 PM
Quote

mikej wrote:

Thanks. Not there yet, I'll keep you posted.


Cheers.  

I was getting between 450-500KB/s with the ARM, mobo mod and the CPU clocked at 28MHz.
Around 340KB/s with the ARM, mobo mod and the CPU clocked at 7MHz.
Around 208KB/s with the ARM, no mod and the CPU clocked at 7MHz.

I like the design of this board and would make a nice addition to my collection.  The kids could have my v1.1.  :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on April 15, 2009, 11:43:08 PM
The ARM is now booting and mounting the SD card file system. I hope to have some performance numbers soon.
/MikeJ
www.fpgaarcade.com
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Darrin on April 16, 2009, 12:52:24 AM
Quote

mikej wrote:
The ARM is now booting and mounting the SD card file system. I hope to have some performance numbers soon.
/MikeJ
www.fpgaarcade.com


Great work.  This will be a hell of a board when it's finsihed.  :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: wolfchild on April 16, 2009, 08:03:02 AM
Quote

mikej wrote:
The ARM is now booting and mounting the SD card file system. I hope to have some performance numbers soon.
/MikeJ
www.fpgaarcade.com


Nice work Mike!  I'd like to get one of your boards! (Wife permitting of course...) ;-)

Edwin
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: AJCopland on April 16, 2009, 12:09:07 PM
Awesome work Mike. I've been hitting your site every now and then to keep up with your progress. Would definately like one of these when you're done :-)

Andy
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: yssing on April 16, 2009, 02:03:42 PM
me to..

is it possible to make the pcb like a "drop-in-replacement" of an a600? or other model
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on April 16, 2009, 03:11:30 PM
yes, in principle, but it is not something I will be doing.
It is much more convenient to drop it into a modern, smaller, mini-itx case.

The modern interfaces like USB and Ethernet also would not fit easily into the old cases.

/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: denli on April 19, 2009, 10:10:48 AM
Set me up for one board too if they will come assembled.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on April 19, 2009, 11:03:42 AM
They only come assembled and fully tested, it's not really possible to home build.
/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: wizard66 on April 19, 2009, 11:59:25 AM
Like one to Mikej
Put me up for one ;-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: ferrellsl on April 19, 2009, 03:49:38 PM
Put me on the list for one as well.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on April 20, 2009, 01:15:37 AM
mikej, Any problem, especially with the pcb layout to get the LM2853 550kHz dc/dc buck converter to work alright..?, high frequency stuff tends to be nasty ;)

Guess the composite output will be as an adapter on the DVI-I connector?
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on April 20, 2009, 09:27:16 AM
Hi,
No, the power supplies seem exceptionally stable thankfully.

The only slight issue is that before the FPGA / CPU are configured the LEDs come on at low brightness due to the internal pull ups. I should have wired them to VCC not GND to avoid this. Perhaps this can be considered a "not configured" feature :)

I am doing the small daughter board now. The composite / SVHS out is driven from a coder chip and is fed from the front small expansion connector which carries video and audio. I should have the PCB finished today. I am doing a 68000 and 68020 daughter board as well to speed up testing of the soft cores.

/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on April 20, 2009, 10:19:57 AM
It might be useful to have a 68020 + 68841-MMU. When your at it anyway.. ;)
A single 68030 does the same job too.

Do you have any idea on the peak di/dt of the FPGA?, it has relevance on the latancy response of the buck converter especially for the 1,2V rail.

I see you use 2,5V with linear regulator for the VTT to DDR memory. I been pondering if one can drive this with an buck converter aswell, or if the noise problems would be too great.

Guess the Minimigs get an incentive to go dynamic ram now that the fpgaarcade-replay board has 32 MB, and the Minimig has 2 MB :D

(your board name is FpgaArcade-Replay v0.0 ?)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on April 20, 2009, 12:16:29 PM
I expect the DDR terminator and all the resistors can be not fitted on the production board to save cost. I'll see what it does to the memory timing window.

The board will be v1.0 when it is released to production.

I have a 68030 on my desk but it is a huge PGA version.... The 68020 is needed to get the A1200 core up and running.
/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: yssing on April 20, 2009, 12:44:47 PM
Did I miss something?? Is AGA supportet?
Sorry for the dumb question.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: tonyyeb on April 20, 2009, 01:01:23 PM
AGA not supported yet but I would think it is only a matter of time, especially as WinUAE has good AGA support already.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on April 20, 2009, 02:42:35 PM
Here's an Amiga graphics roadmap:
1985 OCS  (A1000, A2000, A500)
19~~ Ranger
1990 ECS  (A3000, A500+, A600, A2000)
1992 AGA  (A1200, A4000, CD32)
1993 AAA  -  
1993 Hombre -

It should be possible to implement them all given enough hackertime ;)

So you could select to have an A500 with AAA and SID sound.. or whatever mutation gone wrong test you want that day :-D

Ofcourse there's also the options of Picasso, or some selfdesigne graphics subsystem.

Edit: ECS/A2000
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Darrin on April 20, 2009, 03:09:36 PM
You missed the later A2000 off the ECS machines.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: jkonstan on April 20, 2009, 06:40:02 PM
freqmax,

DDR VTT (1.25V) termination regulator can be linear or a buck switching regulator that was especially designed for DDR termination use.

 :-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on April 20, 2009, 06:52:57 PM
Ie you can't use an ordinary buck converter for DDR VTT ? ;)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: jkonstan on April 20, 2009, 07:07:27 PM
Ordinary buck regulators are not really designed for DDR VTT use. You may find a few that can meet the specs to be a supply for DDR VTT and for VREF on DDR. However, semiconductor companies make special regulators for VTT termination & VREF.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on April 20, 2009, 07:28:03 PM
What are the special VTT requirements?

As a sidenote, buck regulated power won't do for A/D either?
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: jkonstan on April 20, 2009, 07:42:24 PM
-Ultra-fast transient response

-VTT must sink and source current

-Low (switching noise for buck) noise on VTT

-etc ...
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: jkonstan on April 20, 2009, 07:44:48 PM
Check out this NSC app note for Linear VTT regulator.

http://www.national.com/an/AN/AN-1254.pdf
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on April 20, 2009, 08:29:19 PM
Reminds me of the nasty situation where pull-up to higher voltage supply may cause lower supply rails to have higher than designed for level.
I recall Xilinx had some app-note on how to solve it. But one might be a resistor and zener in series that just burns it away (hopefully ;) ).

(I think it's related to the exploit that uses the builtin protection diodes to attach a 3,3V pullup to get an 3,3V-TTL compatible i/o)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on April 20, 2009, 11:43:49 PM
yes, this is what R86 is doing on the 2V5 rail - providing some load. Some of the configuration pins are 2V5, current limited with a resistor but driven from a 3V3 source. You get some leakage through the IO cell protection diodes which try and raise the 2V5 supply. Most DC-DC converters can only source, not sync current (that's why I use a linear for VTT) so your 2V5 gets driven upwards...

/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: AJCopland on April 21, 2009, 09:33:44 AM
@freqmax, jkonstan, mikej:
Wow, now I know how my girlfriend feels when I'm talking about programming! :-D

Andy
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: tonyyeb on April 21, 2009, 09:39:59 AM
@ AJC

Lol! A moment of self realisation has just hit me!
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on April 21, 2009, 10:22:29 AM
AJCopland, Ans she feels.. "hmm.. better find another boyfriend" .. ?  :-D
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on April 21, 2009, 12:16:19 PM
On a slightly different subject I'm just finishing the top mini break out card which has two front mounted joystick ports, composite and SVHS out and two audio RCA connectors.

At the moment the audio connectors are directly connected to the stereo jack out on the main board. I could add an output buffer / filter to these but I would have to either use a single ended op-amp and another output capacitor (not good) or generate a local -ve 5V supply. Any suggestions? worth the hassle / cost? The DAC data sheet is here :

http://www.wolfsonmicro.com/uploads/documents/en/WM8729.pdf

p.s. Does anybody have a 68EC020 processor I can buy / have? A broken A1200 main board would be a good donor if the processor is good.
/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: AJCopland on April 21, 2009, 12:40:44 PM
Not me but "Zetr0" over at the EAB (http://eab.abime.net/) probably does. I think he had a bunch of them for a project he / rkauer were doing for the A600. (if my memory serves me correctly)

Andy
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: AJCopland on April 21, 2009, 12:48:01 PM
Quote

freqmax wrote:
AJCopland, Ans she feels.. "hmm.. better find another boyfriend" .. ?  :-D


 :roflmao: probably, but I've learnt over time that when she starts to get a glazed expression it means I've been talking about the details of coding again :-D

Andy
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on April 21, 2009, 01:15:00 PM
mikej, There are some ways to get that -5V:

(AB)use an MAX232 style capacitor chargepump to invert. Dunno how noisy it's output is.
You could use an Cuk (http://en.wikipedia.org/wiki/%C4%86uk_converter) converter or an Buck-Boost (http://en.wikipedia.org/wiki/Buck-boost_converter) converter. And then a linear regulator following that for that low noise supply.

Another approach which I'm not sure will work is to put a small DC-blocking capacitor in series with the audio signal. And then arrange two (pos+neg) linear regulators to divide the +5V into +2,5V and -2,5V. Line-level (http://en.wikipedia.org/wiki/Line_(electrical_engineering)) audio signals are +/- 1V so it should be possible once you have the proper supply voltages.

In worst case.. just try :P
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on April 21, 2009, 01:22:24 PM
Thanks,
There are a few switching inverters which will do the job, but most are switched cap. Even if the switching frequency is quite high the supply will need some extensive filtering.

The DAC has quite a good build in filter, so is there any benefit in adding a line driver - especially if the op-amps power supply is not the best?
/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on April 21, 2009, 01:35:43 PM
What is the reasoning behind adding another output buffer..?
If the switched cap need extensive filtering, go with an proper switched regulator and get the full juice.
Then use a heavy inductor and capacitor to filter. Don't forget radiated noise (shielding).
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on April 21, 2009, 01:52:46 PM
At the moment the DAC output is capacitive coupled directly to the stereo jack - which is the cheapest and smallest solution for the main card. The DAC has an output filter so it will work fine.

I have more space on the breakout board, and Wolfson talk about an optional low pass filter. It would also have low output impedance good for driving long cable runs.

Downsides are the cost (small) and the need to produce a -ve supply. It can be done with an inverting reg but they are a bit noisy, so without going overboard with the filtering the buffer may not improve the quality of the output, which is the aim for this optional card.

Thoughts?

/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on April 21, 2009, 02:45:39 PM
Go with S/P-dif and get rid of the problem altogether .. ;)

Don't forget that HDMI supports transmitting Audio on the same cable as Video.

Another option is to offer audio digital stream directly to an external connector and let and an additional circuit pcb handle it in any way the user see fit.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on April 21, 2009, 02:55:04 PM
yes, the "big" expansion board will probably have USB, Ethernet and SP-dif. I just did the board symbol for the optical transmitter.

I can't use HDMI because of licensing issues. You can however connect my DVI out with a cable to a HDMI input on a TV, but no audio.
/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: jkonstan on April 21, 2009, 02:57:06 PM
Mikej,

DACs tend to have poor output drive capability; thus, adding buffers (after the series cap) to the DAC outputs is a good idea. The simpliest approach is using a single ended MC33204 (VCC and GND)with a "virtual ground (VCC/2)" as a buffer or as a non-inverting amplifier so that you will not need a -VEE rail.

http://www.onsemi.com/pub_link/Collateral/MC33201-D.PDF
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: tonyyeb on April 21, 2009, 03:04:14 PM
@Mike

Any news on a release date and final price?
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on April 21, 2009, 03:25:38 PM
Quote

jkonstan wrote:
Mikej,

DACs tend to have poor output drive capability; thus, adding buffers (after the series cap) to the DAC outputs is a good idea. The simpliest approach is using a single ended MC33204 (VCC and GND)with a "virtual ground (VCC/2)" as a buffer or as a non-inverting amplifier so that you will not need a -VEE rail.

Thanks, this was my thinking.
If I use a single ended amp then I will require an additional output capacitor? I was trying to avoid this as it degrades the low freq phase response further?

Any tips on how to modify the example WM8729 filter to run single ended? I've mailed Wolfson but no response as yet. I haven't done any analog design for a while, I avoid any components with less than 200 pins :)
/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on April 21, 2009, 03:39:40 PM
As you already have the DVI connector everything needed for HDMI is present. Only an mechanical adapter is needed.

I haven't researched, but I think the restriction is to use the name "hdmi" in marketing. Or mentioning it in documentation.
I think you could say "DVI with sound".

So time for that secret "dvi sound patch" :P

As for analog solution, I think the most viable ones has been outlined by now. Personally an op-amp that manages with plain +V rail seems neat.

Have you tested if the output works for line-in on an standard amplifier?
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on April 21, 2009, 03:48:50 PM
The problem is the DVI driver chips I can use will not embed the audio, you can't buy them without a HDMI license. Nothing to stop you wiring the DVI up to a HDMI and using either a separate analog or the digital connection for the sound.

In the future I will look at direct driving the DVI from a Spartan6 with SerDes.

The standard stereo jack works fine, I have it wired up to my amplified PC speakers. Sounds rather nice :)

As soon as I have finished testing the ARM, memory controller and basic video I'll get some more boards made.

/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: BlackMonk on April 21, 2009, 04:15:00 PM
I believe DisplayPort offers much of the same benefit as HDMI and it is specifically royalty-free.  So that might be an option, though it sounds like you're already set with the DVI and separate audio out anyway.  You can probably get DP to HDMI or DP to DVI adapters, too.

http://en.wikipedia.org/wiki/DisplayPort
http://www.displayport.org/
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on April 21, 2009, 04:24:10 PM
Display port would have been nice, although it didn't exist when I started this :)
/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: jkonstan on April 21, 2009, 06:18:14 PM
To modify the example WM8729 Low pass filter to run from a single ended positive power supply:

1. Use single ended opp amp such as MC33204 where ground is now used for the -VEE supply for opp amp.
2 Make VCC/2 supply via VCC to 1K/1K divider to Ground & use @ 1uF cap from VCC/2 supply to ground.
3. Ground side of 47K resistor should now connect to VCC/2 supply.
4. Ground side of 4.7K resistor should now connect to VCC/2 supply. Note that this part of filter forms a noninverting amp with a DC gain K=2.
5. Ground side of 680pf connect to VCC/2 supply or keep to ground.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on April 21, 2009, 09:41:38 PM
jkonstan, great thanks for the advice.

One small problem I have is the 47KR resistor is on the bottom board and the feed to the expansion board is taken from there. Perhaps if I do a board respin I can take the audio connection directly from the DAC before the cap, but then it is biased around the DAC vmid which I don't have on the top board. mmm

Having said that, I could add another 1K to VCC and 1K to GND at the left hand side of the 1.8KR, and ignore the 47K to GND. I would also need an output capacitor as well after the 51R, or would you not bother?

The DAC maximum output is 0.726V RMS so 1.1V peak. With a gain of 2 we are getting close the the op amp supplies.

I think I need to simulate this.

/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: jkonstan on April 21, 2009, 10:37:24 PM
This is a Sallen & Key 2nd order VCVS low pass filter.
I have used this for LPF for audio in the past and here is a link for a description of it.

http://www.ecircuitcenter.com/Circuits/opsalkey1/opsalkey1.htm

The transfer function for this filter is interesting.
http://en.wikipedia.org/wiki/Sallen_Key_filter

You would need another series cap after the 51R.

I would simulate it in PSpice or TinaPRO.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on April 22, 2009, 12:19:01 AM
The "filter" we are talking here is it to do the same task as the A500 filter that was directly connected to the power LED on the A500 ?
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on April 22, 2009, 12:31:58 AM
Not really in this case but same sort of idea. The main reason here is to better drive the cable and whatever is connected to the board, as well as further attenuate any nasty aliasing from the DAC.

The filters on the A500 had a much lower cut-off freq and this could be approximated with a digital filter in the FPGA.

/Mike

Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: xyzzy on May 03, 2009, 08:30:33 PM
Will the add-on board be able to handle a 68060 processor and whatever RAM it needs, possibly 1 stick of SDRAM and maybe a fast (UDMA) IDE interface? It would probably need an extra FPGA to coordinate everything though.

I was thinking that this would make for a killer A1200 replacement once an AGA core was available for the main board :-D
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on May 04, 2009, 06:51:42 PM
Possible, we have gone as far as a 68030.
To be honest, the soft cores are likely to be able to significantly out perform even the 68060 given some time.
Best,
Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on May 04, 2009, 08:04:13 PM
matthey (http://www.amiga.org/forums/showthread.php?t=41408) mentioned "Superscaler would be super difficult to implement". Softcores is the thing, but they might be a pain to program ;)

However I suspect 68020 can do everything 68030-68060 can, albeit slower.. So it's a good starting point, speed can initially be achieved the Intel way.. more MHz & cache :-D
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on May 04, 2009, 09:18:52 PM
Exactly. The aim is to get cycle accurate 68000 & 68020 cores. Not sure what the limits are yet but 30-40MHz should be possible.

I think it is unlikely we can clone the 68060 exactly, and I also don't think it is the right way forward. It is much easier to design a software compatible processor with the implementation targeted to the FPGAs architecture.

I am all for adding new video modes etc which can be used easily. Adding new processor instructions is less useful, who is going to code for it now? Anybody fancy doing the compiler backend work?

/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: freqmax on May 05, 2009, 02:17:34 AM
I agree. New processor instructions would be highly unsupported. But my thought were more like "if that feature had be implemented then we could.."

New video modes would benefit workbench. But no demo/games I suspect.

Btw.. AMD Am7900 (http://en.wikipedia.org/wiki/AMD_Lance_Am7990) Ethernet interface seems to be quite widespread and QEMU (http://en.wikipedia.org/wiki/QEMU) has source code to clone it.

Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: ChaosLord on May 05, 2009, 03:02:44 AM
@Mike

Here is the deal:
I already have a 32MB A1200 retro machine.  I really need more ram.

If the FPGAarcade machine came with 512 MB ram then I would have to buy it.  Or if I could replace the 64MB ram with my own 512MB.

But as it is... 64MB is not enough of an upgrade for me to justify the cost.

May your bugs be few and your sales be many.:-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: NovaCoder on May 05, 2009, 04:34:39 AM
Quote

mikej wrote:
Exactly. The aim is to get cycle accurate 68000 & 68020 cores. Not sure what the limits are yet but 30-40MHz should be possible.

I think it is unlikely we can clone the 68060 exactly, and I also don't think it is the right way forward. It is much easier to design a software compatible processor with the implementation targeted to the FPGAs architecture.

I am all for adding new video modes etc which can be used easily. Adding new processor instructions is less useful, who is going to code for it now? Anybody fancy doing the compiler backend work?

/Mike


I would like to see it with just a 'real' 030 + FPU on a daughter board as planned and the extra effort spent on new video modes instead.  We all know that the AGA implementation was a bit of a bodge and was also hampered by slow and limited chipram + a slow blitter and copper.  What I would like to see is something closer to what the AAA chipset would have been (new screen modes, better performance, more chipram....and some chunky VGA displays).

I've already got a classic 1200 running an 030 so to replace it I want something that's going to not only be 100% compatible but also something that's a bit quicker (eg can actually run in 256 colours @ 1024x768 without dying in the ass).
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on May 05, 2009, 10:02:03 AM
Hi,

The board can be fitted with 128MB of ram. I really thought that would be enough for anybody!

256MByte is possible with a flip mounted chip underneath the top DRAM. This would not normally be mounted so it would not increase cost. I have some spare wires for chip selects. I'll consider this if I have to do a full board respin.

The other possibility as we discussed is memory on the daughter card. The problem here is the IO is 3.3V. You would need a slave memory controller FPGA on the daughter board. I could imagine a 68060, small FPGA and DIMM slot.

Then the main board would be used as the "chipset" and the lower memory as video display buffer.

It's going to be an interesting ride :)

Best,
Mike.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: ChaosLord on May 05, 2009, 10:12:09 AM
If I can get one with 128 MB then I suppose I might be forced to buy one.

But if your AmigaChipset core allows higher resolutions or 24-bit pixels then that memory will evaporate quickly.

Best of luck to you.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: xyzzy on May 05, 2009, 07:28:17 PM
I'd like to see something interesting from an AGA core such as extended resolutions as mentioned, but also things like a speeded-up blitter and near-zero memory contention so that high-resolutions are snappy in high-colours.

128MB chipmem would certainly be interesting to see!

Does the board have USB connectivity, btw? I get the feeling that would be beyond the scope of the project :-(

BTW, I have some pulled 060s if you ever need any for testing, Mike ;-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on May 05, 2009, 10:00:52 PM
xyzzy,

USB and Ethernet are planned for the "real" daughter board. At the moment I'm busy making debug/test cards for production testing the main card and for the 68030.

I would love to borrow a 060 if you have a known good working one, that would really help.

Best,
Mike.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: xyzzy on May 05, 2009, 11:43:34 PM
Quote
I would love to borrow a 060 if you have a known good working one, that would really help.


Ah, therein lies the problem, they were all pulled from various random scrap routers and stuff, and I don't have anything to test them on, like a blizzard 1260 for example.

But if you still want any for testing, then let me know.

http://img246.imageshack.us/img246/6648/p5050031.jpg (http://img246.imageshack.us/img246/6648/p5050031.jpg)

There's some 040s there too, just to fill the frame :-D
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on May 06, 2009, 12:26:35 AM
I've sent you a pm.
Cheers,
Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on May 06, 2009, 12:26:48 AM
I've sent you a pm.
Cheers,
Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: yaqube on May 08, 2009, 05:15:05 PM
I have recently completed the assembly of my Replay board. It took me over 12 hours but luckily the board seems to work.

I can boot the FPGA (mine is a bigger one than the target device - XC3S1600E) from the SD card. It takes 4 seconds but I'm looking into speeding it up.

And there is a  lot of new peripherals to harness: Audio DAC, Video DAC with DVI transmitter, Analog RGB filters, clock generator and very nice DDR-SDRAM.
(http://www.yaqube.neostrada.pl/images/replay.jpg)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: AJCopland on May 08, 2009, 06:32:28 PM
Wow really neat job you've done one that board! It's looks like very close work with the density of those parts.

I know people get better at that with practise but it's still impressive.

Andy
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: wizard66 on June 01, 2009, 03:17:37 PM
This board is looking so cool ;-)
I have showed this to my wife.
My birthday is tomorrow and my wife is giving me the board for my birthday when we can order it ( I'm so happy)...
This is a realy nice board with a lot of goodies and expansion possibilities.
So Mikej keep on the good work and put me in for one right now ;-)

willem
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: wolfchild on June 01, 2009, 04:03:23 PM
Quote from: wizard66;508570
My birthday is tomorrow and my wife is giving me the board for my birthday when we can order it ( I'm so happy)...
Mine is end July, and I'm already giving hints to my wife :)
I found out she was planning to buy me a watch, but an FPGAArcade would be so much better!
 
Edwin
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: wizard66 on June 02, 2009, 12:17:15 PM
So today I have done the 2MB mod.
My minimig have 2 mb chip and 2MB (minus 512kb kickstart) normal memory.
So I'm ready for the Arm Controller ;-)

(http://img3.imageshack.us/img3/5782/p1020619i.th.jpg) (http://img3.imageshack.us/my.php?image=p1020619i.jpg)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Darrin on June 02, 2009, 03:31:50 PM
Quote from: wizard66;508740
So today I have done the 2MB mod.
My minimig have 2 mb chip and 2MB (minus 512kb kickstart) normal memory.
So I'm ready for the Arm Controller ;-)


any tips?  I still have the chips ready, but I've been working my backside off and haven't got around to trying to solder them on yet.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: wizard66 on June 02, 2009, 10:07:24 PM
Quote from: Darrin;508770
any tips?  I still have the chips ready, but I've been working my backside off and haven't got around to trying to solder them on yet.


Not Realy, it's easy all you have to do is follow Yaqube instructions.

http://www.minimig.net/yaqube/ramexp/ramexp.html
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: wizard66 on June 02, 2009, 10:22:14 PM
@mikej

I have a question, what kind of signal is comming from the dvi poort ?
I ask because the minimig in pal mode gives a 31.khz 50Hz and gives a lot off problems with modern lcd monitors.
So If I use the DVI poort on the replay board, can I use lcd screens in pal, and what is the refresh rate (also 50 HZ) ?
If so it it not possible to make a pal@60hz so we can use all lcd monitors.

I'm just a noob with refresh rates..
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on June 04, 2009, 11:42:05 AM
The video out is sort of complex.

There is a link which selects 15K/30K scan like the Minimig board - basically "TV" or "VGA" mode. This lets you at least see the boot menu on whatever you are connected to.

If the composite/SVHS out module is plugged in, then it only works in 15K (TV) mode.

Whatever mode it's in, the analogue output come out on the DVI analogue pins, so you can use a DVI to VGA / SCART adapter for TV or VGA monitors - same as the Minimig.

The digital signals also always come out the DVI pins. If the refresh rate is 50Hz then some computer LCDs won't display it - but if you use a DVI to HDMI cable then LCD TV's will work nicely.

Most LCD TV's will only accept 60Hz on the DVI/VGA input, but are happy with 50Hz on the HDMI / composite / SVHS inputs.

Best,
Mike.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: wizard66 on July 12, 2009, 12:31:06 PM
@Mikej,
Any updates about the replay ?
It's a bit quiet here !
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on July 13, 2009, 02:55:10 PM
Hi,
yes - I've been on holiday for the last two weeks. I'm now in China and will be back to work on the Replay bring up as soon as possible. Several boards are with other developers who are also making good progress :)
/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: tonyyeb on July 13, 2009, 03:13:26 PM
Great news. I look forward to an update!
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: delshay on July 13, 2009, 04:14:30 PM
Quote from: mikej;450694


p.s. Does anybody have a 68EC020 processor I can buy / have? A broken A1200 main board would be a good donor if the processor is good.
/Mike



pm me a postal address i can send you two desolder ones for free if you still need them.

i also have freescale 68EC020 25Mhz if i have a spare i also send one. (max two processor). free no cost.

NOTE: if you only need one just say as i can save the other for another amiga user.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on July 18, 2009, 07:25:18 AM
Delshay,
Thanks, a kind offer but I am ok for now.
I got hold of a 68060 and a 68030 as well so I am good :)
Best,
Mike.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: yssing on July 18, 2009, 09:45:08 AM
Mike >> You rule.. amazing work... :)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on July 21, 2009, 11:05:43 PM
I've put some pictures of the LCD board and IO board up on the website.
I should probably stop playing with the LCD and focus on the main video output :)
/Mike
http://www.fpgaarcade.com
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: persia on July 21, 2009, 11:15:11 PM
Tentatively put me on the list.  I can't commit without a price and the wife's consent, not necessarily in that order ;)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on July 21, 2009, 11:31:00 PM
When I'm happy with the board design (and hopefully got the new website with forums up) I'll open a list of all those who wish to pre-order. Aiming to push the order button mid to end August.

/MikeJ
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: NovaCoder on July 22, 2009, 12:28:26 AM
Quote from: mikej;516473
When I'm happy with the board design (and hopefully got the new website with forums up) I'll open a list of all those who wish to pre-order. Aiming to push the order button mid to end August.

/MikeJ


Awesome work Mike, can't wait for this puppy to be on sale :)

For us Amiga user's what can we expect, for example what will it support when first released (OCS/ECS 030...060)?   What about the future, any ideas on timescales for AGA, AGA+?

I guess you'll be looking to external developers to come up with softcores to support AGA?  I think Jens has already cracked that one but he might not be willing to share as your product *may* end up as a competitor to CloneA depending on how it is marketed.  Hey, maybe you should talk to him to see how he feels about some kind of collaboration.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on July 22, 2009, 07:49:03 PM
The Replay board is more of a platform, so it will support many different cores from different developers. The boot structure is designed from the start to make this easy.

I'm really an FPGA person, so once the hardware is up and running I'll maintain and enhance the Amiga core. I'm working with a few other people on it as well.

You can expect initially the board to behave like an ARM enhanced MiniMig with more RAM and DVI, but the short term aim is to get a 68020/30 softcore and enhanced video so ECS level at least. AGA may not be the best way to go, the hardware can do much better but the problem is driver/software compatibility.

/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: xyzzy on July 22, 2009, 09:26:29 PM
Good stuff, I can't wait to see the results!
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: NovaCoder on July 23, 2009, 12:53:55 AM
Quote from: mikej;516568
You can expect initially the board to behave like an ARM enhanced MiniMig with more RAM and DVI, but the short term aim is to get a 68020/30 softcore and enhanced video so ECS level at least. AGA may not be the best way to go, the hardware can do much better but the problem is driver/software compatibility.
/Mike


Jens (who is also working on an FPGA OCS/ECS implementation) was quoted as saying that it wouldn't be much extra effort to go to AGA once you have ECS cracked.

Anway, best of luck with this one :)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: tonyyeb on July 23, 2009, 07:26:32 AM
/Drools at the pictures

Got a rough idea of price yet Mike? Worse case scenario?
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Retro_71 on July 23, 2009, 02:06:51 PM
Put me down for one.. :D the wife is really going to kill me but who cares....
just a quick question after the first release how quick will the extra items appear and in what order?
Now just hope it not to expensive. how easy would it be to change cores (what i am trying to say will one be enough to have a amiga, Atari, VIC 20 machine?)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on July 23, 2009, 03:12:09 PM
Most of the cores already exist and need only minor tweaking to get running. All the work at the moment is on the Replay boot system.

At startup the ARM boots from a directory \replay\loader.bin. This gives you the on screen menu etc.

You can then choose a directory on the Sd card, you might have
\amiga_ocs\  
\amiga_ocs_dvi\
\amiga_aaa\
\vic20\
\c64\
etc.

In this directory sits any ROM images you might need as well as the FPGA image. A control text file loads these files into internal/external ram. It also configures the clock generators for the platform and you can set up any options such as disk images. Then it reboots the FPGA into the system you've chosen.

You could also make it boot directly to a specific system.

All the cores will be available for download, so you just stick them on the SD card when they are available. As they will not contain any ROM images I should be able to provide pre-compiled FPGA images (.bin) as well as the sources.


/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: wizard66 on July 25, 2009, 11:22:32 AM
Nice to see the first Replay screen on your monitor Mikej.

http://www.fpgaarcade.com/

It's alive, ALIVE ;-)
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Retro_71 on July 28, 2009, 01:56:57 AM
WOW cool Mike didn't know it was so easy. when will the mass product run be?
Can't wait for it now.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: wizard66 on September 10, 2009, 06:33:45 AM
@ mikej
Your a loooong week in Asia ;-)
Must be on a hollyday or something like that
Any updates for us about the replay?
Can't wait for the release of the board, so any update is good :-)
Cheers
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on September 14, 2009, 03:03:17 PM
Just back, I was away for a bit longer than expected, got flu and then went to IBC in Amsterdam - so I am a bit broken.

I have a huge amount of components, I'll post some pics. There is a slight tweak to the PCB I need to finish, but I promise you will like it :)

Best,
Mike.
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: wizard66 on September 14, 2009, 06:28:48 PM
Quote from: mikej;522992
Just back, I was away for a bit longer than expected, got flu and then went to IBC in Amsterdam - so I am a bit broken.

I have a huge amount of components, I'll post some pics. There is a slight tweak to the PCB I need to finish, but I promise you will like it :)

Best,
Mike.


Nice to hear from you again ;-)
Getting the flu is no fun at all with all the recent viruses flying around.
Do you have the 50 pcb's made in asia like you say on your webside?
And what's this about the slight tweak? you make us curious Mike.
Cheers
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on September 14, 2009, 07:06:48 PM
For the last batch the PCBs were made in China and then assembled in Sweden. The plan was to get 50 boards assembled in China, but due to cunning plan I didn't finish the layout work in time - so I have carried all the bits back home.

I found some stacking svhs/composite and stacking 9 pin Ds which means I can put all the IO on one side of the board and the power connectors on the other, meaning most people won't need the expansion board.
/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: wizard66 on September 14, 2009, 10:44:02 PM
Quote from: mikej;523020
For the last batch the PCBs were made in China and then assembled in Sweden. The plan was to get 50 boards assembled in China, but due to cunning plan I didn't finish the layout work in time - so I have carried all the bits back home.

I found some stacking svhs/composite and stacking 9 pin Ds which means I can put all the IO on one side of the board and the power connectors on the other, meaning most people won't need the expansion board.
/Mike
After looking @ you new pictures from you webside it looks like a good decision to me getting all connectors on one side of the board.
This will also reduce the cost of a extra daughterboard.
So you have to rework the replay pcb AGAIN !!! ;-)
Your board is going to be a top design with all options.
Looking realy forworth to my board (when it's finished)
Keep on the good work Mikej I'm realy impressed by your design.

Keep us posted Cheers
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: mikej on September 15, 2009, 09:55:15 AM
well, it's only one PCB change. I had always expected to have to make some minor changes, it is unusual to get everything completely right on the first go. The ReplayA board could have shipped with one wire on it, but the Chrontel power problem really needed to be fixed. If you are going to make any changes it costs the same no matter what you do, so it seemed a good time to add the new connectors. It takes a bit of time but I think the end result is better - and it means I can scrap the mini expansion board.
/Mike
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: burgertron75 on September 15, 2009, 11:43:04 AM
@MikeJ

This project of yours looks really exciting.  Look forward to the news when you've got the next PCB rev done...

Having read this thread end-to-end with interest, I reckon I'd be in the market for one of these when there is AGA/AAA support

Keep up the good work!
Title: Re: FPGAARCADE minimig compatible board, comments?
Post by: Greg.0 on June 02, 2010, 01:24:30 PM
Hi mikej, Hi all ;-)

It seems there have a lot of progress on your FPGA Arcade board...
Pending the final quote, could you explain the way in which will be  distributed this great project?

Thank you !